aboutsummaryrefslogtreecommitdiffstats
path: root/passes/tests/flowmap/flow.v
blob: 297ef910e61a1b2202b688e0408601c46b405620 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
// Exact reproduction of Figure 2(a) from 10.1109/43.273754.
module top(...);
	input a,b,c,d,e,f;
	wire nA = b&c;
	wire A = !nA;
	wire nB = c|d;
	wire B = !nB;
	wire nC = e&f;
	wire C = !nC;
	wire D = A|B;
	wire E = a&D;
	wire nF = D&C;
	wire F = !nF;
	wire nG = F|B;
	wire G = !nG;
	wire H = a&F;
	wire I = E|G;
	wire J = G&C;
	wire np = H&I;
	output p = !np;
	output q = A|J;
endmodule