blob: e888a7a7c26110a3ee0cc79583be4b7fec4f7145 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
|
module MYMUL(A, B, Y);
parameter WIDTH = 1;
input [WIDTH-1:0] A, B;
output reg [WIDTH-1:0] Y;
wire [1023:0] _TECHMAP_DO_ = "proc; clean";
integer i;
always @* begin
Y = 0;
for (i = 0; i < WIDTH; i=i+1)
if (A[i])
Y = Y + (B << i);
end
endmodule
|