aboutsummaryrefslogtreecommitdiffstats
path: root/manual/PRESENTATION_ExAdv.tex
blob: 56724ccd62cf3c2b746d996a80e353c3d68c71b7 (plain)
1
2
3
4
5
6
7
8
9
\section{Yosys by example -- Advanced Synthesis}

\begin{frame}
\sectionpage
\end{frame}

%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%