aboutsummaryrefslogtreecommitdiffstats
path: root/kernel/python_wrappers.cc
blob: 5ca4e6e6a3094334cff3750cf0f71997d31b4b7e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
2601
2602
2603
2604
2605
2606
2607
2608
2609
2610
2611
2612
2613
2614
2615
2616
2617
2618
2619
2620
2621
2622
2623
2624
2625
2626
2627
2628
2629
2630
2631
2632
2633
2634
2635
2636
2637
2638
2639
2640
2641
2642
2643
2644
2645
2646
2647
2648
2649
2650
2651
2652
2653
2654
2655
2656
2657
2658
2659
2660
2661
2662
2663
2664
2665
2666
2667
2668
2669
2670
2671
2672
2673
2674
2675
2676
2677
2678
2679
2680
2681
2682
2683
2684
2685
2686
2687
2688
2689
2690
2691
2692
2693
2694
2695
2696
2697
2698
2699
2700
2701
2702
2703
2704
2705
2706
2707
2708
2709
2710
2711
2712
2713
2714
2715
2716
2717
2718
2719
2720
2721
2722
2723
2724
2725
2726
2727
2728
2729
2730
2731
2732
2733
2734
2735
2736
2737
2738
2739
2740
2741
2742
2743
2744
2745
2746
2747
2748
2749
2750
2751
2752
2753
2754
2755
2756
2757
2758
2759
2760
2761
2762
2763
2764
2765
2766
2767
2768
2769
2770
2771
2772
2773
2774
2775
2776
2777
2778
2779
2780
2781
2782
2783
2784
2785
2786
2787
2788
2789
2790
2791
2792
2793
2794
2795
2796
2797
2798
2799
2800
2801
2802
2803
2804
2805
2806
2807
2808
2809
2810
2811
2812
2813
2814
2815
2816
2817
2818
2819
2820
2821
2822
2823
2824
2825
2826
2827
2828
2829
2830
2831
2832
2833
2834
2835
2836
2837
2838
2839
2840
2841
2842
2843
2844
2845
2846
2847
2848
2849
2850
2851
2852
2853
2854
2855
2856
2857
2858
2859
2860
2861
2862
2863
2864
2865
2866
2867
2868
2869
2870
2871
2872
2873
2874
2875
2876
2877
2878
2879
2880
2881
2882
2883
2884
2885
2886
2887
2888
2889
2890
2891
2892
2893
2894
2895
2896
2897
2898
2899
2900
2901
2902
2903
2904
2905
2906
2907
2908
2909
2910
2911
2912
2913
2914
2915
2916
2917
2918
2919
2920
2921
2922
2923
2924
2925
2926
2927
2928
2929
2930
2931
2932
2933
2934
2935
2936
2937
2938
2939
2940
2941
2942
2943
2944
2945
2946
2947
2948
2949
2950
2951
2952
2953
2954
2955
2956
2957
2958
2959
2960
2961
2962
2963
2964
2965
2966
2967
2968
2969
2970
2971
2972
2973
2974
2975
2976
2977
2978
2979
2980
2981
2982
2983
2984
2985
2986
2987
2988
2989
2990
2991
2992
2993
2994
2995
2996
2997
2998
2999
3000
3001
3002
3003
3004
3005
3006
3007
3008
3009
3010
3011
3012
3013
3014
3015
3016
3017
3018
3019
3020
3021
3022
3023
3024
3025
3026
3027
3028
3029
3030
3031
3032
3033
3034
3035
3036
3037
3038
3039
3040
3041
3042
3043
3044
3045
3046
3047
3048
3049
3050
3051
3052
3053
3054
3055
3056
3057
3058
3059
3060
3061
3062
3063
3064
3065
3066
3067
3068
3069
3070
3071
3072
3073
3074
3075
3076
3077
3078
3079
3080
3081
3082
3083
3084
3085
3086
3087
3088
3089
3090
3091
3092
3093
3094
3095
3096
3097
3098
3099
3100
3101
3102
3103
3104
3105
3106
3107
3108
3109
3110
3111
3112
3113
3114
3115
3116
3117
3118
3119
3120
3121
3122
3123
3124
3125
3126
3127
3128
3129
3130
3131
3132
3133
3134
3135
3136
3137
3138
3139
3140
3141
3142
3143
3144
3145
3146
3147
3148
3149
3150
3151
3152
3153
3154
3155
3156
3157
3158
3159
3160
3161
3162
3163
3164
3165
3166
3167
3168
3169
3170
3171
3172
3173
3174
3175
3176
3177
3178
3179
3180
3181
3182
3183
3184
3185
3186
3187
3188
3189
3190
3191
3192
3193
3194
3195
3196
3197
3198
3199
3200
3201
3202
3203
3204
3205
3206
3207
3208
3209
3210
3211
3212
3213
3214
3215
3216
3217
3218
3219
3220
3221
3222
3223
3224
3225
3226
3227
3228
3229
3230
3231
3232
3233
3234
3235
3236
3237
3238
3239
3240
3241
3242
3243
3244
3245
3246
3247
3248
3249
3250
3251
3252
3253
3254
3255
3256
3257
3258
3259
3260
3261
3262
3263
3264
3265
3266
3267
3268
3269
3270
3271
3272
3273
3274
3275
3276
3277
3278
3279
3280
3281
3282
3283
3284
3285
3286
3287
3288
3289
3290
3291
3292
3293
3294
3295
3296
3297
3298
3299
3300
3301
3302
3303
3304
3305
3306
3307
3308
3309
3310
3311
3312
3313
3314
3315
3316
3317
3318
3319
3320
3321
3322
3323
3324
3325
3326
3327
3328
3329
3330
3331
3332
3333
3334
3335
3336
3337
3338
3339
3340
3341
3342
3343
3344
3345
3346
3347
3348
3349
3350
3351
3352
3353
3354
3355
3356
3357
3358
3359
3360
3361
3362
3363
3364
3365
3366
3367
3368
3369
3370
3371
3372
3373
3374
3375
3376
3377
3378
3379
3380
3381
3382
3383
3384
3385
3386
3387
3388
3389
3390
3391
3392
3393
3394
3395
3396
3397
3398
3399
3400
3401
3402
3403
3404
3405
3406
3407
3408
3409
3410
3411
3412
3413
3414
3415
3416
3417
3418
3419
3420
3421
3422
3423
3424
3425
3426
3427
3428
3429
3430
3431
3432
3433
3434
3435
3436
3437
3438
3439
3440
3441
3442
3443
3444
3445
3446
3447
3448
3449
3450
3451
3452
3453
3454
3455
3456
3457
3458
3459
3460
3461
3462
3463
3464
3465
3466
3467
3468
3469
3470
3471
3472
3473
3474
3475
3476
3477
3478
3479
3480
3481
3482
3483
3484
3485
3486
3487
3488
3489
3490
3491
3492
3493
3494
3495
3496
3497
3498
3499
3500
3501
3502
3503
3504
3505
3506
3507
3508
3509
3510
3511
3512
3513
3514
3515
3516
3517
3518
3519
3520
3521
3522
3523
3524
3525
3526
3527
3528
3529
3530
3531
3532
3533
3534
3535
3536
3537
3538
3539
3540
3541
3542
3543
3544
3545
3546
3547
3548
3549
3550
3551
3552
3553
3554
3555
3556
3557
3558
3559
3560
3561
3562
3563
3564
3565
3566
3567
3568
3569
3570
3571
3572
3573
3574
3575
3576
3577
3578
3579
3580
3581
3582
3583
3584
3585
3586
3587
3588
3589
3590
3591
3592
3593
3594
3595
3596
3597
3598
3599
3600
3601
3602
3603
3604
3605
3606
3607
3608
3609
3610
3611
3612
3613
3614
3615
3616
3617
3618
3619
3620
3621
3622
3623
3624
3625
3626
3627
3628
3629
3630
3631
3632
3633
3634
3635
3636
3637
3638
3639
3640
3641
3642
3643
3644
3645
3646
3647
3648
3649
3650
3651
3652
3653
3654
3655
3656
3657
3658
3659
3660
3661
3662
3663
3664
3665
3666
3667
3668
3669
3670
3671
3672
3673
3674
3675
3676
3677
3678
3679
3680
3681
3682
3683
3684
3685
3686
3687
3688
3689
3690
3691
3692
3693
3694
3695
3696
3697
3698
3699
3700
3701
3702
3703
3704
3705
3706
3707
3708
3709
3710
3711
3712
3713
3714
3715
3716
3717
3718
3719
3720
3721
3722
3723
3724
3725
3726
3727
3728
3729
3730
3731
3732
3733
3734
3735
3736
3737
3738
3739
3740
3741
3742
3743
3744
3745
3746
3747
3748
3749
3750
3751
3752
3753
3754
3755
3756
3757
3758
3759
3760
3761
3762
3763
3764
3765
3766
3767
3768
3769
3770
3771
3772
3773
3774
3775
3776
3777
3778
3779
3780
3781
#ifdef WITH_PYTHON

#include "yosys.h"
#include <boost/python/module.hpp>
#include <boost/python/class.hpp>
#include <boost/python/wrapper.hpp>
#include <boost/python/call.hpp>
#include <boost/python.hpp>
#include <boost/log/exceptions.hpp>

using namespace Yosys;

namespace YOSYS_PYTHON {

	struct IdString;
	struct Const;
	struct CaseRule;
	struct SwitchRule;
	struct SyncRule;
	struct Process;
	struct SigChunk;
	struct SigBit;
	struct SigSpec;
	struct Cell;
	struct Wire;
	struct Memory;
	struct Module;
	struct Design;
	struct Monitor;
	typedef Yosys::RTLIL::State State;

	void run(std::string command)
	{
		Yosys::run_pass(command);
	}

	void log(std::string text)
	{
		Yosys::log("%s",text.c_str());
	}

	struct IdString
	{
		Yosys::RTLIL::IdString* ref_obj;

		IdString(Yosys::RTLIL::IdString* ref = new Yosys::RTLIL::IdString())
		{
			this->ref_obj = new Yosys::RTLIL::IdString(*ref);
		}

		~IdString()
		{
			delete(this->ref_obj);
		}

		IdString(Yosys::RTLIL::IdString ref)
		{
			this->ref_obj = new Yosys::RTLIL::IdString(ref);
		}

		IdString(const std::string &str)
		{
			this->ref_obj = new Yosys::RTLIL::IdString(str);
		}

		Yosys::RTLIL::IdString* get_cpp_obj() const
		{
			return ref_obj;
		}

		//WRAPPED static inline int get_reference(int idx)
		static int get_reference(int idx);

		//WRAPPED static inline void put_reference(int idx)
		static void put_reference(int idx);

		//WRAPPED std::string str() const {
		std::string str();

		//WRAPPED std::string substr(size_t pos = 0, size_t len = std::string::npos) const {
		std::string substr(size_t pos = 0, size_t len = std::string::npos);

		//WRAPPED size_t size() const {
		size_t size();

		//WRAPPED bool empty() const {
		bool empty();

		//WRAPPED void clear() {
		void clear();

		//WRAPPED unsigned int hash() const {
		unsigned int hash();

		//WRAPPED bool in(IdString rhs) const { return *this == rhs; }
		bool in_IdString(IdString *rhs);

		//WRAPPED bool in(const std::string &rhs) const { return *this == rhs; }
		bool in_std_string(std::string rhs);

		//WRAPPED bool in(const pool<IdString> &rhs) const { return rhs.count(*this) != 0; }
		bool in_pool_IdString(boost::python::list *rhs);

		bool operator<(IdString rhs) { return get_cpp_obj() <rhs.get_cpp_obj(); }

		bool operator==(IdString rhs) { return get_cpp_obj() ==rhs.get_cpp_obj(); }

		bool operator!=(IdString rhs) { return get_cpp_obj() !=rhs.get_cpp_obj(); }
	};

	std::ostream &operator<<(std::ostream &ostr, const IdString &ref)
	{
		ostr << ref.ref_obj->str();
		return ostr;
	}
	struct Const
	{
		Yosys::RTLIL::Const* ref_obj;

		Const(Yosys::RTLIL::Const* ref = new Yosys::RTLIL::Const())
		{
			this->ref_obj = new Yosys::RTLIL::Const(*ref);
		}

		~Const()
		{
			delete(this->ref_obj);
		}

		Const(Yosys::RTLIL::Const ref)
		{
			this->ref_obj = new Yosys::RTLIL::Const(ref);
		}

		Yosys::RTLIL::Const* get_cpp_obj() const
		{
			return ref_obj;
		}

		//WRAPPED bool as_bool() const;
		bool as_bool();

		//WRAPPED int as_int(bool is_signed = false) const;
		int as_int(bool is_signed = false);

		//WRAPPED std::string as_string() const;
		std::string as_string();

		//WRAPPED static Const from_string(std::string str);
		static Const from_string(std::string str);

		//WRAPPED std::string decode_string() const;
		std::string decode_string();

		//WRAPPED inline int size() const { return bits.size(); }
		int size();

		//WRAPPED bool is_fully_zero() const;
		bool is_fully_zero();

		//WRAPPED bool is_fully_ones() const;
		bool is_fully_ones();

		//WRAPPED bool is_fully_def() const;
		bool is_fully_def();

		//WRAPPED bool is_fully_undef() const;
		bool is_fully_undef();

		//WRAPPED inline RTLIL::Const extract(int offset, int len = 1, RTLIL::State padding = RTLIL::State::S0) const {
		Const extract(int offset, int len = 1, State padding = RTLIL::State::S0);

		//WRAPPED inline unsigned int hash() const {
		unsigned int hash();

		bool operator<(Const rhs) { return get_cpp_obj() <rhs.get_cpp_obj(); }

		bool operator==(Const rhs) { return get_cpp_obj() ==rhs.get_cpp_obj(); }

		bool operator!=(Const rhs) { return get_cpp_obj() !=rhs.get_cpp_obj(); }
	};

	std::ostream &operator<<(std::ostream &ostr, const Const &ref)
	{
		ostr << ref.ref_obj->as_string();
		return ostr;
	}
	struct CaseRule
	{
		Yosys::RTLIL::CaseRule* ref_obj;

		CaseRule(Yosys::RTLIL::CaseRule* ref = new Yosys::RTLIL::CaseRule())
		{
			this->ref_obj = ref->clone();
		}

		~CaseRule()
		{
			delete(this->ref_obj);
		}

		CaseRule(Yosys::RTLIL::CaseRule ref)
		{
			this->ref_obj = ref.clone();
		}

		Yosys::RTLIL::CaseRule* get_cpp_obj() const
		{
			return ref_obj;
		}

		//WRAPPED RTLIL::CaseRule *clone() const;
		CaseRule clone();
	};

	std::ostream &operator<<(std::ostream &ostr, const CaseRule &ref)
	{
		ostr << "CaseRule object at " << ref.ref_obj;
		return ostr;
	}
	struct SwitchRule
	{
		Yosys::RTLIL::SwitchRule* ref_obj;

		SwitchRule(Yosys::RTLIL::SwitchRule* ref = new Yosys::RTLIL::SwitchRule())
		{
			this->ref_obj = ref->clone();
		}

		~SwitchRule()
		{
			delete(this->ref_obj);
		}

		SwitchRule(Yosys::RTLIL::SwitchRule ref)
		{
			this->ref_obj = ref.clone();
		}

		Yosys::RTLIL::SwitchRule* get_cpp_obj() const
		{
			return ref_obj;
		}

		//WRAPPED RTLIL::SwitchRule *clone() const;
		SwitchRule clone();
	};

	std::ostream &operator<<(std::ostream &ostr, const SwitchRule &ref)
	{
		ostr << "SwitchRule object at " << ref.ref_obj;
		return ostr;
	}
	struct SyncRule
	{
		Yosys::RTLIL::SyncRule* ref_obj;

		SyncRule(Yosys::RTLIL::SyncRule* ref = new Yosys::RTLIL::SyncRule())
		{
			this->ref_obj = ref->clone();
		}

		~SyncRule()
		{
			delete(this->ref_obj);
		}

		SyncRule(Yosys::RTLIL::SyncRule ref)
		{
			this->ref_obj = ref.clone();
		}

		Yosys::RTLIL::SyncRule* get_cpp_obj() const
		{
			return ref_obj;
		}

		//WRAPPED RTLIL::SyncRule *clone() const;
		SyncRule clone();
	};

	std::ostream &operator<<(std::ostream &ostr, const SyncRule &ref)
	{
		ostr << "SyncRule object at " << ref.ref_obj;
		return ostr;
	}
	struct Process
	{
		Yosys::RTLIL::Process* ref_obj;

		Process(Yosys::RTLIL::Process* ref = new Yosys::RTLIL::Process())
		{
			this->ref_obj = ref->clone();
		}

		~Process()
		{
			delete(this->ref_obj);
		}

		Process(Yosys::RTLIL::Process ref)
		{
			this->ref_obj = ref.clone();
		}

		Yosys::RTLIL::Process* get_cpp_obj() const
		{
			return ref_obj;
		}

		//WRAPPED RTLIL::Process *clone() const;
		Process clone();
	};

	std::ostream &operator<<(std::ostream &ostr, const Process &ref)
	{
		ostr << "Process with name " << ref.ref_obj->name.c_str();
		return ostr;
	}
	struct SigChunk
	{
		Yosys::RTLIL::SigChunk* ref_obj;

		SigChunk(Yosys::RTLIL::SigChunk* ref = new Yosys::RTLIL::SigChunk())
		{
			this->ref_obj = new Yosys::RTLIL::SigChunk(*ref);
		}

		~SigChunk()
		{
			delete(this->ref_obj);
		}

		SigChunk(Yosys::RTLIL::SigChunk ref)
		{
			this->ref_obj = new Yosys::RTLIL::SigChunk(ref);
		}

		Yosys::RTLIL::SigChunk* get_cpp_obj() const
		{
			return ref_obj;
		}

		//WRAPPED RTLIL::SigChunk extract(int offset, int length) const;
		SigChunk extract(int offset, int length);

		bool operator<(SigChunk rhs) { return get_cpp_obj() <rhs.get_cpp_obj(); }

		bool operator==(SigChunk rhs) { return get_cpp_obj() ==rhs.get_cpp_obj(); }

		bool operator!=(SigChunk rhs) { return get_cpp_obj() !=rhs.get_cpp_obj(); }
	};

	std::ostream &operator<<(std::ostream &ostr, const SigChunk &ref)
	{
		ostr << "SigChunk object at " << ref.ref_obj;
		return ostr;
	}
	struct SigBit
	{
		Yosys::RTLIL::SigBit* ref_obj;

		SigBit(Yosys::RTLIL::SigBit* ref = new Yosys::RTLIL::SigBit())
		{
			this->ref_obj = new Yosys::RTLIL::SigBit(*ref);
		}

		~SigBit()
		{
			delete(this->ref_obj);
		}

		SigBit(Yosys::RTLIL::SigBit ref)
		{
			this->ref_obj = new Yosys::RTLIL::SigBit(ref);
		}

		Yosys::RTLIL::SigBit* get_cpp_obj() const
		{
			return ref_obj;
		}

		//WRAPPED unsigned int hash() const;
		unsigned int hash();

		bool operator<(SigBit rhs) { return get_cpp_obj() <rhs.get_cpp_obj(); }

		bool operator==(SigBit rhs) { return get_cpp_obj() ==rhs.get_cpp_obj(); }

		bool operator!=(SigBit rhs) { return get_cpp_obj() !=rhs.get_cpp_obj(); }
	};

	std::ostream &operator<<(std::ostream &ostr, const SigBit &ref)
	{
		ostr << "SigBit object at " << ref.ref_obj;
		return ostr;
	}
	struct SigSpec
	{
		Yosys::RTLIL::SigSpec* ref_obj;

		SigSpec(Yosys::RTLIL::SigSpec* ref = new Yosys::RTLIL::SigSpec())
		{
			this->ref_obj = new Yosys::RTLIL::SigSpec(*ref);
		}

		~SigSpec()
		{
			delete(this->ref_obj);
		}

		SigSpec(Yosys::RTLIL::SigSpec ref)
		{
			this->ref_obj = new Yosys::RTLIL::SigSpec(ref);
		}

		Yosys::RTLIL::SigSpec* get_cpp_obj() const
		{
			return ref_obj;
		}

		//WRAPPED size_t get_hash() const {
		size_t get_hash();

		//WRAPPED inline int size() const { return width_; }
		int size();

		//WRAPPED inline bool empty() const { return width_ == 0; }
		bool empty();

		//WRAPPED void replace(const RTLIL::SigSpec &pattern, const RTLIL::SigSpec &with);
		void replace_SigSpec_SigSpec(SigSpec *pattern, SigSpec *with);

		//WRAPPED void replace(const RTLIL::SigSpec &pattern, const RTLIL::SigSpec &with, RTLIL::SigSpec *other) const;
		void replace_SigSpec_SigSpec_SigSpec(SigSpec *pattern, SigSpec *with, SigSpec *other);

		//WRAPPED void replace(int offset, const RTLIL::SigSpec &with);
		void replace_int_SigSpec(int offset, SigSpec *with);

		//WRAPPED void remove(const RTLIL::SigSpec &pattern);
		void remove_SigSpec(SigSpec *pattern);

		//WRAPPED void remove(const RTLIL::SigSpec &pattern, RTLIL::SigSpec *other) const;
		void remove_SigSpec_SigSpec(SigSpec *pattern, SigSpec *other);

		//WRAPPED void remove2(const RTLIL::SigSpec &pattern, RTLIL::SigSpec *other);
		void remove2_SigSpec_SigSpec(SigSpec *pattern, SigSpec *other);

		//WRAPPED void remove(const pool<RTLIL::SigBit> &pattern);
		void remove_pool_SigBit(boost::python::list *pattern);

		//WRAPPED void remove(const pool<RTLIL::SigBit> &pattern, RTLIL::SigSpec *other) const;
		void remove_pool_SigBit_SigSpec(boost::python::list *pattern, SigSpec *other);

		//WRAPPED void remove2(const pool<RTLIL::SigBit> &pattern, RTLIL::SigSpec *other);
		void remove2_pool_SigBit_SigSpec(boost::python::list *pattern, SigSpec *other);

		//WRAPPED void remove(int offset, int length = 1);
		void remove_int_int(int offset, int length = 1);

		//WRAPPED RTLIL::SigSpec extract(const RTLIL::SigSpec &pattern, const RTLIL::SigSpec *other = NULL) const;
		SigSpec extract_SigSpec_SigSpec(SigSpec *pattern, SigSpec *other);

		//WRAPPED RTLIL::SigSpec extract(const pool<RTLIL::SigBit> &pattern, const RTLIL::SigSpec *other = NULL) const;
		SigSpec extract_pool_SigBit_SigSpec(boost::python::list *pattern, SigSpec *other);

		//WRAPPED RTLIL::SigSpec extract(int offset, int length = 1) const;
		SigSpec extract_int_int(int offset, int length = 1);

		//WRAPPED void append(const RTLIL::SigSpec &signal);
		void append(SigSpec *signal);

		//WRAPPED void append_bit(const RTLIL::SigBit &bit);
		void append_bit(SigBit *bit);

		//WRAPPED void extend_u0(int width, bool is_signed = false);
		void extend_u0(int width, bool is_signed = false);

		//WRAPPED RTLIL::SigSpec repeat(int num) const;
		SigSpec repeat(int num);

		//WRAPPED bool is_wire() const;
		bool is_wire();

		//WRAPPED bool is_chunk() const;
		bool is_chunk();

		//WRAPPED inline bool is_bit() const { return width_ == 1; }
		bool is_bit();

		//WRAPPED bool is_fully_const() const;
		bool is_fully_const();

		//WRAPPED bool is_fully_zero() const;
		bool is_fully_zero();

		//WRAPPED bool is_fully_ones() const;
		bool is_fully_ones();

		//WRAPPED bool is_fully_def() const;
		bool is_fully_def();

		//WRAPPED bool is_fully_undef() const;
		bool is_fully_undef();

		//WRAPPED bool has_const() const;
		bool has_const();

		//WRAPPED bool has_marked_bits() const;
		bool has_marked_bits();

		//WRAPPED bool as_bool() const;
		bool as_bool();

		//WRAPPED int as_int(bool is_signed = false) const;
		int as_int(bool is_signed = false);

		//WRAPPED std::string as_string() const;
		std::string as_string();

		//WRAPPED RTLIL::Const as_const() const;
		Const as_const();

		//WRAPPED RTLIL::Wire *as_wire() const;
		Wire as_wire();

		//WRAPPED RTLIL::SigChunk as_chunk() const;
		SigChunk as_chunk();

		//WRAPPED RTLIL::SigBit as_bit() const;
		SigBit as_bit();

		//WRAPPED bool match(std::string pattern) const;
		bool match(std::string pattern);

		//WRAPPED static bool parse(RTLIL::SigSpec &sig, RTLIL::Module *module, std::string str);
		static bool parse(SigSpec *sig, Module *module, std::string str);

		//WRAPPED static bool parse_sel(RTLIL::SigSpec &sig, RTLIL::Design *design, RTLIL::Module *module, std::string str);
		static bool parse_sel(SigSpec *sig, Design *design, Module *module, std::string str);

		//WRAPPED static bool parse_rhs(const RTLIL::SigSpec &lhs, RTLIL::SigSpec &sig, RTLIL::Module *module, std::string str);
		static bool parse_rhs(SigSpec *lhs, SigSpec *sig, Module *module, std::string str);

		//WRAPPED unsigned int hash() const { if(!hash_) updhash(); return hash_; };
		unsigned int hash();

		//WRAPPED void check() const;
		void check();

		bool operator<(SigSpec rhs) { return get_cpp_obj() <rhs.get_cpp_obj(); }

		bool operator==(SigSpec rhs) { return get_cpp_obj() ==rhs.get_cpp_obj(); }

		bool operator!=(SigSpec rhs) { return get_cpp_obj() !=rhs.get_cpp_obj(); }
	};

	std::ostream &operator<<(std::ostream &ostr, const SigSpec &ref)
	{
		ostr << "SigSpec object at " << ref.ref_obj;
		return ostr;
	}
	struct Cell
	{
		unsigned int hashidx_;
		Yosys::RTLIL::Cell* ref_obj;

		Cell(Yosys::RTLIL::Cell* ref)
		{
			this->hashidx_ = ref->hashidx_;
			this->ref_obj = ref;
		}

		Yosys::RTLIL::Cell* get_cpp_obj() const
		{
			Yosys::RTLIL::Cell* ret = Yosys::RTLIL::Cell::get_all_cells()->at(this->hashidx_);
			if(ret != NULL && ret == this->ref_obj)
				return ret;
			return NULL;
		}

		//WRAPPED unsigned int hash() const { return hashidx_; }
		unsigned int hash();

		//WRAPPED bool hasPort(RTLIL::IdString portname) const;
		bool hasPort(IdString *portname);

		//WRAPPED void unsetPort(RTLIL::IdString portname);
		void unsetPort(IdString *portname);

		//WRAPPED void setPort(RTLIL::IdString portname, RTLIL::SigSpec signal);
		void setPort(IdString *portname, SigSpec *signal);

		//WRAPPED bool known() const;
		bool known();

		//WRAPPED bool input(RTLIL::IdString portname) const;
		bool input(IdString *portname);

		//WRAPPED bool output(RTLIL::IdString portname) const;
		bool output(IdString *portname);

		//WRAPPED bool hasParam(RTLIL::IdString paramname) const;
		bool hasParam(IdString *paramname);

		//WRAPPED void unsetParam(RTLIL::IdString paramname);
		void unsetParam(IdString *paramname);

		//WRAPPED void setParam(RTLIL::IdString paramname, RTLIL::Const value);
		void setParam(IdString *paramname, Const *value);

		//WRAPPED void fixup_parameters(bool set_a_signed = false, bool set_b_signed = false);
		void fixup_parameters(bool set_a_signed = false, bool set_b_signed = false);

		//WRAPPED bool has_keep_attr() const {
		bool has_keep_attr();
	};

	std::ostream &operator<<(std::ostream &ostr, const Cell &ref)
	{
		if(ref.get_cpp_obj() != NULL)
			ostr << "Cell with name " << ref.get_cpp_obj()->name.c_str();
		else
			ostr << "deleted Cell";
		return ostr;
	}
	struct Wire
	{
		unsigned int hashidx_;
		Yosys::RTLIL::Wire* ref_obj;

		Wire(Yosys::RTLIL::Wire* ref)
		{
			this->hashidx_ = ref->hashidx_;
			this->ref_obj = ref;
		}

		Yosys::RTLIL::Wire* get_cpp_obj() const
		{
			Yosys::RTLIL::Wire* ret = Yosys::RTLIL::Wire::get_all_wires()->at(this->hashidx_);
			if(ret != NULL && ret == this->ref_obj)
				return ret;
			return NULL;
		}

		//WRAPPED unsigned int hash() const { return hashidx_; }
		unsigned int hash();
	};

	std::ostream &operator<<(std::ostream &ostr, const Wire &ref)
	{
		if(ref.get_cpp_obj() != NULL)
			ostr << "Wire with name " << ref.get_cpp_obj()->name.c_str();
		else
			ostr << "deleted Wire";
		return ostr;
	}
	struct Memory
	{
		unsigned int hashidx_;
		Yosys::RTLIL::Memory* ref_obj;

		Memory(Yosys::RTLIL::Memory* ref)
		{
			this->hashidx_ = ref->hashidx_;
			this->ref_obj = ref;
		}

		Yosys::RTLIL::Memory* get_cpp_obj() const
		{
			Yosys::RTLIL::Memory* ret = Yosys::RTLIL::Memory::get_all_memorys()->at(this->hashidx_);
			if(ret != NULL && ret == this->ref_obj)
				return ret;
			return NULL;
		}

		//WRAPPED unsigned int hash() const { return hashidx_; }
		unsigned int hash();
	};

	std::ostream &operator<<(std::ostream &ostr, const Memory &ref)
	{
		if(ref.get_cpp_obj() != NULL)
			ostr << "Memory with name " << ref.get_cpp_obj()->name.c_str();
		else
			ostr << "deleted Memory";
		return ostr;
	}
	struct Module
	{
		unsigned int hashidx_;
		Yosys::RTLIL::Module* ref_obj;

		Module(Yosys::RTLIL::Module* ref = new Yosys::RTLIL::Module())
		{
			this->hashidx_ = ref->hashidx_;
			this->ref_obj = ref;
		}

		Yosys::RTLIL::Module* get_cpp_obj() const
		{
			Yosys::RTLIL::Module* ret = Yosys::RTLIL::Module::get_all_modules()->at(this->hashidx_);
			if(ret != NULL && ret == this->ref_obj)
				return ret;
			return NULL;
		}

		boost::python::list get_cells()
		{
			Yosys::RTLIL::Module* cpp_obj = get_cpp_obj();
			boost::python::list result;
			if(cpp_obj == NULL)
			{
				return result;
			}
			for(auto &mod_it : cpp_obj->cells_)
			{
				result.append(new Cell(mod_it.second));
			}
			return result;
		}

		boost::python::list get_wires()
		{
			Yosys::RTLIL::Module* cpp_obj = get_cpp_obj();
			boost::python::list result;
			if(cpp_obj == NULL)
			{
				return result;
			}
			for(auto &mod_it : cpp_obj->wires_)
			{
				result.append(new Wire(mod_it.second));
			}
			return result;
		}

		void register_monitor(Monitor* const m);

		//WRAPPED unsigned int hash() const { return hashidx_; }
		unsigned int hash();

		//WRAPPED void connect(const RTLIL::SigSig &conn);
		void connect_SigSig(PyObject *conn);

		//WRAPPED void connect(const RTLIL::SigSpec &lhs, const RTLIL::SigSpec &rhs);
		void connect_SigSpec_SigSpec(SigSpec *lhs, SigSpec *rhs);

		//WRAPPED void new_connections(const std::vector<RTLIL::SigSig> &new_conn);
		void new_connections(boost::python::list *new_conn);

		//WRAPPED void cloneInto(RTLIL::Module *new_mod) const;
		void cloneInto(Module *new_mod);

		//WRAPPED bool has_memories() const;
		bool has_memories();

		//WRAPPED bool has_processes() const;
		bool has_processes();

		//WRAPPED bool has_memories_warn() const;
		bool has_memories_warn();

		//WRAPPED bool has_processes_warn() const;
		bool has_processes_warn();

		//WRAPPED RTLIL::Wire* wire(RTLIL::IdString id) { return wires_.count(id) ? wires_.at(id) : nullptr; }
		Wire wire(IdString *id);

		//WRAPPED RTLIL::Cell* cell(RTLIL::IdString id) { return cells_.count(id) ? cells_.at(id) : nullptr; }
		Cell cell(IdString *id);

		//WRAPPED void remove(const pool<RTLIL::Wire*> &wires);
		void remove_pool_Wire(boost::python::list *wires);

		//WRAPPED void remove(RTLIL::Cell *cell);
		void remove_Cell(Cell *cell);

		//WRAPPED void rename(RTLIL::Wire *wire, RTLIL::IdString new_name);
		void rename_Wire_IdString(Wire *wire, IdString *new_name);

		//WRAPPED void rename(RTLIL::Cell *cell, RTLIL::IdString new_name);
		void rename_Cell_IdString(Cell *cell, IdString *new_name);

		//WRAPPED void rename(RTLIL::IdString old_name, RTLIL::IdString new_name);
		void rename_IdString_IdString(IdString *old_name, IdString *new_name);

		//WRAPPED void swap_names(RTLIL::Wire *w1, RTLIL::Wire *w2);
		void swap_names_Wire_Wire(Wire *w1, Wire *w2);

		//WRAPPED void swap_names(RTLIL::Cell *c1, RTLIL::Cell *c2);
		void swap_names_Cell_Cell(Cell *c1, Cell *c2);

		//WRAPPED RTLIL::IdString uniquify(RTLIL::IdString name);
		IdString uniquify_IdString(IdString *name);

		//WRAPPED RTLIL::IdString uniquify(RTLIL::IdString name, int &index);
		IdString uniquify_IdString_int(IdString *name, int index);

		//WRAPPED RTLIL::Wire *addWire(RTLIL::IdString name, int width = 1);
		Wire addWire_IdString_int(IdString *name, int width = 1);

		//WRAPPED RTLIL::Wire *addWire(RTLIL::IdString name, const RTLIL::Wire *other);
		Wire addWire_IdString_Wire(IdString *name, Wire *other);

		//WRAPPED RTLIL::Cell *addCell(RTLIL::IdString name, RTLIL::IdString type);
		Cell addCell_IdString_IdString(IdString *name, IdString *type);

		//WRAPPED RTLIL::Cell *addCell(RTLIL::IdString name, const RTLIL::Cell *other);
		Cell addCell_IdString_Cell(IdString *name, Cell *other);

		//WRAPPED RTLIL::Cell* addNot(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addNot(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addPos(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addPos(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addNeg(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addNeg(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addAnd(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addAnd(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addOr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addOr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addXor(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addXor(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addXnor(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addXnor(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addReduceAnd(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addReduceAnd(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addReduceOr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addReduceOr(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addReduceXor(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addReduceXor(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addReduceXnor(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addReduceXnor(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addReduceBool(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addReduceBool(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addShl(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addShl(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addShr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addShr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addSshl(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addSshl(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addSshr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addSshr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addShift(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addShift(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addShiftx(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addShiftx(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addLt(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addLt(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addLe(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addLe(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addEq(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addEq(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addNe(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addNe(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addEqx(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addEqx(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addNex(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addNex(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addGe(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addGe(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addGt(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addGt(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addAdd(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addAdd(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addSub(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addSub(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addMul(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addMul(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addDiv(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addDiv(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addMod(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addMod(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addPow(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool a_signed = false, bool b_signed = false, const std::string &src = "");
		Cell addPow(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool a_signed = false, bool b_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addLogicNot(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addLogicNot(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addLogicAnd(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addLogicAnd(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addLogicOr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
		Cell addLogicOr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::Cell* addMux(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_s, RTLIL::SigSpec sig_y, const std::string &src = "");
		Cell addMux(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_s, SigSpec *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addPmux(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_s, RTLIL::SigSpec sig_y, const std::string &src = "");
		Cell addPmux(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_s, SigSpec *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addSlice(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, RTLIL::Const offset, const std::string &src = "");
		Cell addSlice(IdString *name, SigSpec *sig_a, SigSpec *sig_y, Const *offset, std::string src = "");

		//WRAPPED RTLIL::Cell* addConcat(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, const std::string &src = "");
		Cell addConcat(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addLut(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, RTLIL::Const lut, const std::string &src = "");
		Cell addLut(IdString *name, SigSpec *sig_a, SigSpec *sig_y, Const *lut, std::string src = "");

		//WRAPPED RTLIL::Cell* addTribuf(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_en, RTLIL::SigSpec sig_y, const std::string &src = "");
		Cell addTribuf(IdString *name, SigSpec *sig_a, SigSpec *sig_en, SigSpec *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addAssert(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_en, const std::string &src = "");
		Cell addAssert(IdString *name, SigSpec *sig_a, SigSpec *sig_en, std::string src = "");

		//WRAPPED RTLIL::Cell* addAssume(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_en, const std::string &src = "");
		Cell addAssume(IdString *name, SigSpec *sig_a, SigSpec *sig_en, std::string src = "");

		//WRAPPED RTLIL::Cell* addLive(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_en, const std::string &src = "");
		Cell addLive(IdString *name, SigSpec *sig_a, SigSpec *sig_en, std::string src = "");

		//WRAPPED RTLIL::Cell* addFair(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_en, const std::string &src = "");
		Cell addFair(IdString *name, SigSpec *sig_a, SigSpec *sig_en, std::string src = "");

		//WRAPPED RTLIL::Cell* addCover(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_en, const std::string &src = "");
		Cell addCover(IdString *name, SigSpec *sig_a, SigSpec *sig_en, std::string src = "");

		//WRAPPED RTLIL::Cell* addEquiv(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, const std::string &src = "");
		Cell addEquiv(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addSr(RTLIL::IdString name, RTLIL::SigSpec sig_set, RTLIL::SigSpec sig_clr, RTLIL::SigSpec sig_q, bool set_polarity = true, bool clr_polarity = true, const std::string &src = "");
		Cell addSr(IdString *name, SigSpec *sig_set, SigSpec *sig_clr, SigSpec *sig_q, bool set_polarity = true, bool clr_polarity = true, std::string src = "");

		//WRAPPED RTLIL::Cell* addFf(RTLIL::IdString name, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, const std::string &src = "");
		Cell addFf(IdString *name, SigSpec *sig_d, SigSpec *sig_q, std::string src = "");

		//WRAPPED RTLIL::Cell* addDff(RTLIL::IdString name, RTLIL::SigSpec sig_clk, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, bool clk_polarity = true, const std::string &src = "");
		Cell addDff(IdString *name, SigSpec *sig_clk, SigSpec *sig_d, SigSpec *sig_q, bool clk_polarity = true, std::string src = "");

		//WRAPPED RTLIL::Cell* addDffe(RTLIL::IdString name, RTLIL::SigSpec sig_clk, RTLIL::SigSpec sig_en, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, bool clk_polarity = true, bool en_polarity = true, const std::string &src = "");
		Cell addDffe(IdString *name, SigSpec *sig_clk, SigSpec *sig_en, SigSpec *sig_d, SigSpec *sig_q, bool clk_polarity = true, bool en_polarity = true, std::string src = "");

		//WRAPPED RTLIL::Cell* addDlatch(RTLIL::IdString name, RTLIL::SigSpec sig_en, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, bool en_polarity = true, const std::string &src = "");
		Cell addDlatch(IdString *name, SigSpec *sig_en, SigSpec *sig_d, SigSpec *sig_q, bool en_polarity = true, std::string src = "");

		//WRAPPED RTLIL::Cell* addBufGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addBufGate(IdString *name, SigBit *sig_a, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addNotGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addNotGate(IdString *name, SigBit *sig_a, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addAndGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addAndGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addNandGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addNandGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addOrGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addOrGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addNorGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addNorGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addXorGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addXorGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addXnorGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addXnorGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addAndnotGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addAndnotGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addOrnotGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addOrnotGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addMuxGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_s, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addMuxGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_s, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addAoi3Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addAoi3Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addOai3Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addOai3Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addAoi4Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_d, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addAoi4Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, SigBit *sig_d, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addOai4Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_d, RTLIL::SigBit sig_y, const std::string &src = "");
		Cell addOai4Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, SigBit *sig_d, SigBit *sig_y, std::string src = "");

		//WRAPPED RTLIL::Cell* addFfGate(RTLIL::IdString name, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, const std::string &src = "");
		Cell addFfGate(IdString *name, SigSpec *sig_d, SigSpec *sig_q, std::string src = "");

		//WRAPPED RTLIL::Cell* addDffGate(RTLIL::IdString name, RTLIL::SigSpec sig_clk, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, bool clk_polarity = true, const std::string &src = "");
		Cell addDffGate(IdString *name, SigSpec *sig_clk, SigSpec *sig_d, SigSpec *sig_q, bool clk_polarity = true, std::string src = "");

		//WRAPPED RTLIL::Cell* addDffeGate(RTLIL::IdString name, RTLIL::SigSpec sig_clk, RTLIL::SigSpec sig_en, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, bool clk_polarity = true, bool en_polarity = true, const std::string &src = "");
		Cell addDffeGate(IdString *name, SigSpec *sig_clk, SigSpec *sig_en, SigSpec *sig_d, SigSpec *sig_q, bool clk_polarity = true, bool en_polarity = true, std::string src = "");

		//WRAPPED RTLIL::Cell* addDlatchGate(RTLIL::IdString name, RTLIL::SigSpec sig_en, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, bool en_polarity = true, const std::string &src = "");
		Cell addDlatchGate(IdString *name, SigSpec *sig_en, SigSpec *sig_d, SigSpec *sig_q, bool en_polarity = true, std::string src = "");

		//WRAPPED RTLIL::SigSpec Not(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
		SigSpec Not(IdString *name, SigSpec *sig_a, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Pos(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
		SigSpec Pos(IdString *name, SigSpec *sig_a, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Neg(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
		SigSpec Neg(IdString *name, SigSpec *sig_a, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec And(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec And(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Or(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Or(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Xor(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Xor(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Xnor(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Xnor(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec ReduceAnd(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
		SigSpec ReduceAnd(IdString *name, SigSpec *sig_a, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec ReduceOr(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
		SigSpec ReduceOr(IdString *name, SigSpec *sig_a, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec ReduceXor(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
		SigSpec ReduceXor(IdString *name, SigSpec *sig_a, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec ReduceXnor(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
		SigSpec ReduceXnor(IdString *name, SigSpec *sig_a, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec ReduceBool(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
		SigSpec ReduceBool(IdString *name, SigSpec *sig_a, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Shl(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Shl(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Shr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Shr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Sshl(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Sshl(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Sshr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Sshr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Shift(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Shift(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Shiftx(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Shiftx(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Lt(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Lt(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Le(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Le(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Eq(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Eq(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Ne(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Ne(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Eqx(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Eqx(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Nex(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Nex(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Ge(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Ge(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Gt(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Gt(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Add(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Add(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Sub(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Sub(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Mul(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Mul(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Div(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Div(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Mod(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec Mod(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec LogicNot(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
		SigSpec LogicNot(IdString *name, SigSpec *sig_a, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec LogicAnd(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec LogicAnd(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec LogicOr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
		SigSpec LogicOr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed = false, std::string src = "");

		//WRAPPED RTLIL::SigSpec Mux(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_s, const std::string &src = "");
		SigSpec Mux(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_s, std::string src = "");

		//WRAPPED RTLIL::SigSpec Pmux(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_s, const std::string &src = "");
		SigSpec Pmux(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_s, std::string src = "");

		//WRAPPED RTLIL::SigBit BufGate(RTLIL::IdString name, RTLIL::SigBit sig_a, const std::string &src = "");
		SigBit BufGate(IdString *name, SigBit *sig_a, std::string src = "");

		//WRAPPED RTLIL::SigBit NotGate(RTLIL::IdString name, RTLIL::SigBit sig_a, const std::string &src = "");
		SigBit NotGate(IdString *name, SigBit *sig_a, std::string src = "");

		//WRAPPED RTLIL::SigBit AndGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
		SigBit AndGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src = "");

		//WRAPPED RTLIL::SigBit NandGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
		SigBit NandGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src = "");

		//WRAPPED RTLIL::SigBit OrGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
		SigBit OrGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src = "");

		//WRAPPED RTLIL::SigBit NorGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
		SigBit NorGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src = "");

		//WRAPPED RTLIL::SigBit XorGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
		SigBit XorGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src = "");

		//WRAPPED RTLIL::SigBit XnorGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
		SigBit XnorGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src = "");

		//WRAPPED RTLIL::SigBit AndnotGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
		SigBit AndnotGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src = "");

		//WRAPPED RTLIL::SigBit OrnotGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
		SigBit OrnotGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src = "");

		//WRAPPED RTLIL::SigBit MuxGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_s, const std::string &src = "");
		SigBit MuxGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_s, std::string src = "");

		//WRAPPED RTLIL::SigBit Aoi3Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, const std::string &src = "");
		SigBit Aoi3Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, std::string src = "");

		//WRAPPED RTLIL::SigBit Oai3Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, const std::string &src = "");
		SigBit Oai3Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, std::string src = "");

		//WRAPPED RTLIL::SigBit Aoi4Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_d, const std::string &src = "");
		SigBit Aoi4Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, SigBit *sig_d, std::string src = "");

		//WRAPPED RTLIL::SigBit Oai4Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_d, const std::string &src = "");
		SigBit Oai4Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, SigBit *sig_d, std::string src = "");

		//WRAPPED RTLIL::SigSpec Anyconst(RTLIL::IdString name, int width = 1, const std::string &src = "");
		SigSpec Anyconst(IdString *name, int width = 1, std::string src = "");

		//WRAPPED RTLIL::SigSpec Anyseq(RTLIL::IdString name, int width = 1, const std::string &src = "");
		SigSpec Anyseq(IdString *name, int width = 1, std::string src = "");

		//WRAPPED RTLIL::SigSpec Allconst(RTLIL::IdString name, int width = 1, const std::string &src = "");
		SigSpec Allconst(IdString *name, int width = 1, std::string src = "");

		//WRAPPED RTLIL::SigSpec Allseq(RTLIL::IdString name, int width = 1, const std::string &src = "");
		SigSpec Allseq(IdString *name, int width = 1, std::string src = "");

		//WRAPPED RTLIL::SigSpec Initstate(RTLIL::IdString name, const std::string &src = "");
		SigSpec Initstate(IdString *name, std::string src = "");
	};

	std::ostream &operator<<(std::ostream &ostr, const Module &ref)
	{
		if(ref.get_cpp_obj() != NULL)
			ostr << "Module with name " << ref.get_cpp_obj()->name.c_str();
		else
			ostr << "deleted Module";
		return ostr;
	}
	struct Design
	{
		unsigned int hashidx_;
		Yosys::RTLIL::Design* ref_obj;

		Design(Yosys::RTLIL::Design* ref = new Yosys::RTLIL::Design())
		{
			this->hashidx_ = ref->hashidx_;
			this->ref_obj = ref;
		}

		Yosys::RTLIL::Design* get_cpp_obj() const
		{
			Yosys::RTLIL::Design* ret = Yosys::RTLIL::Design::get_all_designs()->at(this->hashidx_);
			if(ret != NULL && ret == this->ref_obj)
				return ret;
			return NULL;
		}

		boost::python::list get_modules()
		{
			Yosys::RTLIL::Design* cpp_obj = get_cpp_obj();
			boost::python::list result;
			if(cpp_obj == NULL)
			{
				return result;
			}
			for(auto &mod_it : cpp_obj->modules_)
			{
				result.append(new Module(mod_it.second));
			}
			return result;
		}

		void run(std::string command)
		{
			Yosys::RTLIL::Design* cpp_design = get_cpp_obj();
			if(cpp_design != NULL)
				Yosys::run_pass(command, cpp_design);

		}

		void register_monitor(Monitor* const m);

		//WRAPPED unsigned int hash() const { return hashidx_; }
		unsigned int hash();

		//WRAPPED RTLIL::Module *module(RTLIL::IdString name);
		Module module(IdString *name);

		//WRAPPED bool has(RTLIL::IdString id) const {
		bool has(IdString *id);

		//WRAPPED void add(RTLIL::Module *module);
		void add(Module *module);

		//WRAPPED RTLIL::Module *addModule(RTLIL::IdString name);
		Module addModule(IdString *name);

		//WRAPPED void remove(RTLIL::Module *module);
		void remove(Module *module);

		//WRAPPED void rename(RTLIL::Module *module, RTLIL::IdString new_name);
		void rename(Module *module, IdString *new_name);

		//WRAPPED void scratchpad_unset(std::string varname);
		void scratchpad_unset(std::string varname);

		//WRAPPED void scratchpad_set_int(std::string varname, int value);
		void scratchpad_set_int(std::string varname, int value);

		//WRAPPED void scratchpad_set_bool(std::string varname, bool value);
		void scratchpad_set_bool(std::string varname, bool value);

		//WRAPPED void scratchpad_set_string(std::string varname, std::string value);
		void scratchpad_set_string(std::string varname, std::string value);

		//WRAPPED int scratchpad_get_int(std::string varname, int default_value = 0) const;
		int scratchpad_get_int(std::string varname, int default_value = 0);

		//WRAPPED bool scratchpad_get_bool(std::string varname, bool default_value = false) const;
		bool scratchpad_get_bool(std::string varname, bool default_value = false);

		//WRAPPED std::string scratchpad_get_string(std::string varname, std::string default_value = std::string()) const;
		std::string scratchpad_get_string(std::string varname, std::string default_value = std::string());

		//WRAPPED bool selected_module(RTLIL::IdString mod_name) const;
		bool selected_module_IdString(IdString *mod_name);

		//WRAPPED bool selected_whole_module(RTLIL::IdString mod_name) const;
		bool selected_whole_module_IdString(IdString *mod_name);

		//WRAPPED bool selected_member(RTLIL::IdString mod_name, RTLIL::IdString memb_name) const;
		bool selected_member(IdString *mod_name, IdString *memb_name);

		//WRAPPED bool selected_module(RTLIL::Module *mod) const;
		bool selected_module_Module(Module *mod);

		//WRAPPED bool selected_whole_module(RTLIL::Module *mod) const;
		bool selected_whole_module_Module(Module *mod);

		//WRAPPED bool full_selection() const {
		bool full_selection();
	};

	std::ostream &operator<<(std::ostream &ostr, const Design &ref)
	{
		if(ref.get_cpp_obj() != NULL)
			ostr << "Design with identifier " << ref.hashidx_;
		else
			ostr << "deleted Design";
		return ostr;
	}

	//WRAPPED static inline std::string escape_id(std::string str) {
	inline std::string escape_id(std::string str)
	{
		return Yosys::RTLIL::escape_id(str);
	}

	//WRAPPED static inline std::string unescape_id(std::string str) {
	inline std::string unescape_id_std_string(std::string str)
	{
		return Yosys::RTLIL::unescape_id(str);
	}

	//WRAPPED static inline std::string unescape_id(RTLIL::IdString str) {
	inline std::string unescape_id_IdString(IdString *str)
	{
		return Yosys::RTLIL::unescape_id(*str->get_cpp_obj());
	}

	//WRAPPED RTLIL::Const const_not(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_not(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_not(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_and(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_and(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_and(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_or(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_or(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_or(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_xor(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_xor(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_xor(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_xnor(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_xnor(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_xnor(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_reduce_and(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_reduce_and(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_reduce_and(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_reduce_or(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_reduce_or(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_reduce_or(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_reduce_xor(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_reduce_xor(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_reduce_xor(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_reduce_xnor(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_reduce_xnor(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_reduce_xnor(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_reduce_bool(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_reduce_bool(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_reduce_bool(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_logic_not(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_logic_not(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_logic_not(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_logic_and(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_logic_and(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_logic_and(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_logic_or(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_logic_or(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_logic_or(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_shl(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_shl(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_shl(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_shr(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_shr(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_shr(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_sshl(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_sshl(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_sshl(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_sshr(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_sshr(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_sshr(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_shift(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_shift(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_shift(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_shiftx(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_shiftx(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_shiftx(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_lt(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_lt(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_lt(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_le(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_le(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_le(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_eq(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_eq(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_eq(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_ne(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_ne(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_ne(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_eqx(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_eqx(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_eqx(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_nex(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_nex(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_nex(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_ge(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_ge(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_ge(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_gt(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_gt(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_gt(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_add(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_add(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_add(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_sub(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_sub(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_sub(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_mul(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_mul(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_mul(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_div(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_div(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_div(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_mod(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_mod(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_mod(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_pow(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_pow(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_pow(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_pos(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_pos(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_pos(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	//WRAPPED RTLIL::Const const_neg(const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len);
	Const const_neg(Const *arg1, Const *arg2, bool signed1, bool signed2, int result_len)
	{
		return Const(Yosys::RTLIL::const_neg(*arg1->get_cpp_obj(), *arg2->get_cpp_obj(), signed1, signed2, result_len));
	}

	struct Monitor : public Yosys::RTLIL::Monitor
	{

		virtual void notify_module_add(Yosys::RTLIL::Module *module) YS_OVERRIDE
		{
			py_notify_module_add(new Module(module));
		}

		virtual void notify_module_del(Yosys::RTLIL::Module *module) YS_OVERRIDE
		{
			py_notify_module_del(new Module(module));
		}

		virtual void notify_connect(Yosys::RTLIL::Cell *cell, const Yosys::RTLIL::IdString &port, const Yosys::RTLIL::SigSpec &old_sig, Yosys::RTLIL::SigSpec &sig) YS_OVERRIDE
		{
			Yosys::RTLIL::IdString *tmp_port = new Yosys::RTLIL::IdString(port);
			Yosys::RTLIL::SigSpec *tmp_old_sig = new Yosys::RTLIL::SigSpec(old_sig);
			py_notify_connect_cell(new Cell(cell), new IdString(tmp_port), new SigSpec(tmp_old_sig), new SigSpec(&sig));
			delete tmp_port;
			delete tmp_old_sig;
		}

		virtual void notify_connect(Yosys::RTLIL::Module *module, const Yosys::RTLIL::SigSig &sigsig) YS_OVERRIDE
		{
			Yosys::RTLIL::SigSpec *first = new Yosys::RTLIL::SigSpec(sigsig.first);
			Yosys::RTLIL::SigSpec *second = new Yosys::RTLIL::SigSpec(sigsig.second);
			py_notify_connect_tuple(new Module(module), boost::python::make_tuple(new SigSpec(first), new SigSpec(second)));
			delete first;
			delete second;
		}

		virtual void notify_connect(Yosys::RTLIL::Module *module, const std::vector<Yosys::RTLIL::SigSig> &sigsig_vec) YS_OVERRIDE
		{
			boost::python::list sigsig_list;
			for(auto sigsig : sigsig_vec)
				sigsig_list.append(boost::python::make_tuple(new SigSpec(&sigsig.first), new SigSpec(&sigsig.second)));
			py_notify_connect_list(new Module(module), sigsig_list);
		}

		virtual void notify_blackout(Yosys::RTLIL::Module *module) YS_OVERRIDE
		{
			py_notify_blackout(new Module(module));
		}

		virtual void py_notify_module_add(Module*){};
		virtual void py_notify_module_del(Module*){};
		virtual void py_notify_connect_cell(Cell *cell, IdString *port, SigSpec *old_sig, SigSpec *sig){};
		virtual void py_notify_connect_tuple(Module *module, boost::python::tuple sigsig){};
		virtual void py_notify_connect_list(Module* module, boost::python::list sigsig_list){};
		virtual void py_notify_blackout(Module*){};
	};

	struct MonitorWrap : Monitor, boost::python::wrapper<Monitor>
	{
		void py_notify_module_add(Module* m)
		{
			if(boost::python::override py_notify_module_add = this->get_override("py_notify_module_add"))
				py_notify_module_add(m);
			else
				Monitor::py_notify_module_add(m);
		}

		void default_py_notify_module_add(Module* m)
		{
			this->Monitor::py_notify_module_add(m);
		}

		void py_notify_module_del(Module* m)
		{
			if(boost::python::override py_notify_module_del = this->get_override("py_notify_module_del"))
				py_notify_module_del(m);
		else
				Monitor::py_notify_module_del(m);
		}

		void default_py_notify_module_del(Module* m)
		{
			this->Monitor::py_notify_module_del(m);
		}

		void py_notify_connect_cell(Cell *cell, IdString *port, SigSpec *old_sig, SigSpec *sig)
		{
			if(boost::python::override py_notify_connect_cell = this->get_override("py_notify_connect_cell"))
				py_notify_connect_cell(cell, port, old_sig, sig);
			else
				Monitor::py_notify_connect_cell(cell, port, old_sig, sig);
		}

		void default_py_notify_connect_cell(Cell *cell, IdString *port, SigSpec *old_sig, SigSpec *sig)
		{
			this->Monitor::py_notify_connect_cell(cell, port, old_sig, sig);
		}

		void py_notify_connect_tuple(Module *module, boost::python::tuple sigsig)
		{
			if(boost::python::override py_notify_connect_tuple = this->get_override("py_notify_connect_tuple"))
				py_notify_connect_tuple(module, sigsig);
			else
				Monitor::py_notify_connect_tuple(module, sigsig);
		}

		void default_py_notify_connect_tuple(Module *module, boost::python::tuple sigsig)
		{
			this->Monitor::py_notify_connect_tuple(module, sigsig);
		}

		void py_notify_connect_list(Module* module, boost::python::list sigsig_list)
		{
			if(boost::python::override py_notify_connect_list = this->get_override("py_notify_connect_list"))
				py_notify_connect_list(module, sigsig_list);
			else
				Monitor::py_notify_connect_list(module, sigsig_list);
		}

		void default_py_notify_connect_list(Module* module, boost::python::list sigsig_list)
		{
			this->Monitor::py_notify_connect_list(module, sigsig_list);
		}

		void py_notify_blackout(Module* m)
		{
			if(boost::python::override py_notify_blackout = this->get_override("py_notify_blackout"))
				py_notify_blackout(m);
			else
				Monitor::py_notify_blackout(m);
		}

		void default_py_notify_blackout(Module* m)
		{
			this->Monitor::py_notify_blackout(m);
		}
	};

	struct PyPass : public Yosys::Pass
	{
		PyPass(std::string name, std::string short_help) : Yosys::Pass(name, short_help) { }
	
		virtual void execute(vector<string> args, Yosys::RTLIL::Design* d)  YS_OVERRIDE
		{
			boost::python::list py_args;
			for(auto arg : args)
				py_args.append(arg);
			py_execute(py_args, new Design(d));
		}

		virtual void help() YS_OVERRIDE
		{
			py_help();
		}

		virtual void py_execute(boost::python::list args, Design* d){}
		virtual void py_help(){}
	};

	struct PassWrap : PyPass, boost::python::wrapper<PyPass>
	{

		PassWrap(std::string name, std::string short_help) : PyPass(name, short_help) { }
	
		void py_execute(boost::python::list args, Design* d)
		{
			if(boost::python::override py_execute = this->get_override("py_execute"))
				py_execute(args, d);
			else
				PyPass::py_execute(args, d);
		}

		void default_py_execute(boost::python::list args, Design* d)
		{
			this->PyPass::py_execute(args, d);
		}

		void py_help()
		{
			if(boost::python::override py_help = this->get_override("py_help"))
				py_help();
			else
				PyPass::py_help();
		}

		void default_py_help()
		{
			this->PyPass::py_help();
		}
	};

	void Module::register_monitor(Monitor* const m)
	{
		Yosys::RTLIL::Module* cpp_module = this->get_cpp_obj();
		if(cpp_module == NULL)
			return;
		cpp_module->monitors.insert(m);
	}

	void Design::register_monitor(Monitor* const m)
	{
		Yosys::RTLIL::Design* cpp_design = this->get_cpp_obj();
		if(cpp_design == NULL)
			return;
		cpp_design->monitors.insert(m);
	}

	//WRAPPED static inline int get_reference(int idx)
	inline int IdString::get_reference(int idx)
	{
		return Yosys::RTLIL::IdString::get_reference(idx);
	}

	//WRAPPED static inline void put_reference(int idx)
	inline void IdString::put_reference(int idx)
	{
		Yosys::RTLIL::IdString::put_reference(idx);
	}

	//WRAPPED std::string str() const {
	std::string IdString::str()
	{
		return this->get_cpp_obj()->str();
	}

	//WRAPPED std::string substr(size_t pos = 0, size_t len = std::string::npos) const {
	std::string IdString::substr(size_t pos, size_t len)
	{
		return this->get_cpp_obj()->substr(pos, len);
	}

	//WRAPPED size_t size() const {
	size_t IdString::size()
	{
		return this->get_cpp_obj()->size();
	}

	//WRAPPED bool empty() const {
	bool IdString::empty()
	{
		return this->get_cpp_obj()->empty();
	}

	//WRAPPED void clear() {
	void IdString::clear()
	{
		this->get_cpp_obj()->clear();
	}

	//WRAPPED unsigned int hash() const {
	unsigned int IdString::hash()
	{
		return this->get_cpp_obj()->hash();
	}

	//WRAPPED bool in(IdString rhs) const { return *this == rhs; }
	bool IdString::in_IdString(IdString *rhs)
	{
		return this->get_cpp_obj()->in(*rhs->get_cpp_obj());
	}

	//WRAPPED bool in(const std::string &rhs) const { return *this == rhs; }
	bool IdString::in_std_string(std::string rhs)
	{
		return this->get_cpp_obj()->in(rhs);
	}

	//WRAPPED bool in(const pool<IdString> &rhs) const { return rhs.count(*this) != 0; }
	bool IdString::in_pool_IdString(boost::python::list *rhs)
	{
		pool<Yosys::RTLIL::IdString> rhs_;
		for(int i = 0; i < len(*rhs); ++i)
		{
		}
		return this->get_cpp_obj()->in(rhs_);
	}

	//WRAPPED bool as_bool() const;
	bool Const::as_bool()
	{
		return this->get_cpp_obj()->as_bool();
	}

	//WRAPPED int as_int(bool is_signed = false) const;
	int Const::as_int(bool is_signed)
	{
		return this->get_cpp_obj()->as_int(is_signed);
	}

	//WRAPPED std::string as_string() const;
	std::string Const::as_string()
	{
		return this->get_cpp_obj()->as_string();
	}

	//WRAPPED static Const from_string(std::string str);
	Const Const::from_string(std::string str)
	{
		return Const(Yosys::RTLIL::Const::from_string(str));
	}

	//WRAPPED std::string decode_string() const;
	std::string Const::decode_string()
	{
		return this->get_cpp_obj()->decode_string();
	}

	//WRAPPED inline int size() const { return bits.size(); }
	inline int Const::size()
	{
		return this->get_cpp_obj()->size();
	}

	//WRAPPED bool is_fully_zero() const;
	bool Const::is_fully_zero()
	{
		return this->get_cpp_obj()->is_fully_zero();
	}

	//WRAPPED bool is_fully_ones() const;
	bool Const::is_fully_ones()
	{
		return this->get_cpp_obj()->is_fully_ones();
	}

	//WRAPPED bool is_fully_def() const;
	bool Const::is_fully_def()
	{
		return this->get_cpp_obj()->is_fully_def();
	}

	//WRAPPED bool is_fully_undef() const;
	bool Const::is_fully_undef()
	{
		return this->get_cpp_obj()->is_fully_undef();
	}

	//WRAPPED inline RTLIL::Const extract(int offset, int len = 1, RTLIL::State padding = RTLIL::State::S0) const {
	inline Const Const::extract(int offset, int len, State padding)
	{
		return Const(this->get_cpp_obj()->extract(offset, len, padding));
	}

	//WRAPPED inline unsigned int hash() const {
	inline unsigned int Const::hash()
	{
		return this->get_cpp_obj()->hash();
	}

	//WRAPPED RTLIL::CaseRule *clone() const;
	CaseRule CaseRule::clone()
	{
		return CaseRule(this->get_cpp_obj()->clone());
	}

	//WRAPPED RTLIL::SwitchRule *clone() const;
	SwitchRule SwitchRule::clone()
	{
		return SwitchRule(this->get_cpp_obj()->clone());
	}

	//WRAPPED RTLIL::SyncRule *clone() const;
	SyncRule SyncRule::clone()
	{
		return SyncRule(this->get_cpp_obj()->clone());
	}

	//WRAPPED RTLIL::Process *clone() const;
	Process Process::clone()
	{
		return Process(this->get_cpp_obj()->clone());
	}

	//WRAPPED RTLIL::SigChunk extract(int offset, int length) const;
	SigChunk SigChunk::extract(int offset, int length)
	{
		return SigChunk(this->get_cpp_obj()->extract(offset, length));
	}

	//WRAPPED unsigned int hash() const;
	unsigned int SigBit::hash()
	{
		return this->get_cpp_obj()->hash();
	}

	//WRAPPED size_t get_hash() const {
	size_t SigSpec::get_hash()
	{
		return this->get_cpp_obj()->get_hash();
	}

	//WRAPPED inline int size() const { return width_; }
	inline int SigSpec::size()
	{
		return this->get_cpp_obj()->size();
	}

	//WRAPPED inline bool empty() const { return width_ == 0; }
	inline bool SigSpec::empty()
	{
		return this->get_cpp_obj()->empty();
	}

	//WRAPPED void replace(const RTLIL::SigSpec &pattern, const RTLIL::SigSpec &with);
	void SigSpec::replace_SigSpec_SigSpec(SigSpec *pattern, SigSpec *with)
	{
		this->get_cpp_obj()->replace(*pattern->get_cpp_obj(), *with->get_cpp_obj());
	}

	//WRAPPED void replace(const RTLIL::SigSpec &pattern, const RTLIL::SigSpec &with, RTLIL::SigSpec *other) const;
	void SigSpec::replace_SigSpec_SigSpec_SigSpec(SigSpec *pattern, SigSpec *with, SigSpec *other)
	{
		this->get_cpp_obj()->replace(*pattern->get_cpp_obj(), *with->get_cpp_obj(), other->get_cpp_obj());
	}

	//WRAPPED void replace(int offset, const RTLIL::SigSpec &with);
	void SigSpec::replace_int_SigSpec(int offset, SigSpec *with)
	{
		this->get_cpp_obj()->replace(offset, *with->get_cpp_obj());
	}

	//WRAPPED void remove(const RTLIL::SigSpec &pattern);
	void SigSpec::remove_SigSpec(SigSpec *pattern)
	{
		this->get_cpp_obj()->remove(*pattern->get_cpp_obj());
	}

	//WRAPPED void remove(const RTLIL::SigSpec &pattern, RTLIL::SigSpec *other) const;
	void SigSpec::remove_SigSpec_SigSpec(SigSpec *pattern, SigSpec *other)
	{
		this->get_cpp_obj()->remove(*pattern->get_cpp_obj(), other->get_cpp_obj());
	}

	//WRAPPED void remove2(const RTLIL::SigSpec &pattern, RTLIL::SigSpec *other);
	void SigSpec::remove2_SigSpec_SigSpec(SigSpec *pattern, SigSpec *other)
	{
		this->get_cpp_obj()->remove2(*pattern->get_cpp_obj(), other->get_cpp_obj());
	}

	//WRAPPED void remove(const pool<RTLIL::SigBit> &pattern);
	void SigSpec::remove_pool_SigBit(boost::python::list *pattern)
	{
		pool<Yosys::RTLIL::SigBit> pattern_;
		for(int i = 0; i < len(*pattern); ++i)
		{
		}
		this->get_cpp_obj()->remove(pattern_);
	}

	//WRAPPED void remove(const pool<RTLIL::SigBit> &pattern, RTLIL::SigSpec *other) const;
	void SigSpec::remove_pool_SigBit_SigSpec(boost::python::list *pattern, SigSpec *other)
	{
		pool<Yosys::RTLIL::SigBit> pattern_;
		for(int i = 0; i < len(*pattern); ++i)
		{
		}
		this->get_cpp_obj()->remove(pattern_, other->get_cpp_obj());
	}

	//WRAPPED void remove2(const pool<RTLIL::SigBit> &pattern, RTLIL::SigSpec *other);
	void SigSpec::remove2_pool_SigBit_SigSpec(boost::python::list *pattern, SigSpec *other)
	{
		pool<Yosys::RTLIL::SigBit> pattern_;
		for(int i = 0; i < len(*pattern); ++i)
		{
		}
		this->get_cpp_obj()->remove2(pattern_, other->get_cpp_obj());
	}

	//WRAPPED void remove(int offset, int length = 1);
	void SigSpec::remove_int_int(int offset, int length)
	{
		this->get_cpp_obj()->remove(offset, length);
	}

	//WRAPPED RTLIL::SigSpec extract(const RTLIL::SigSpec &pattern, const RTLIL::SigSpec *other = NULL) const;
	SigSpec SigSpec::extract_SigSpec_SigSpec(SigSpec *pattern, SigSpec *other)
	{
		return SigSpec(this->get_cpp_obj()->extract(*pattern->get_cpp_obj(), other->get_cpp_obj()));
	}

	//WRAPPED RTLIL::SigSpec extract(const pool<RTLIL::SigBit> &pattern, const RTLIL::SigSpec *other = NULL) const;
	SigSpec SigSpec::extract_pool_SigBit_SigSpec(boost::python::list *pattern, SigSpec *other)
	{
		pool<Yosys::RTLIL::SigBit> pattern_;
		for(int i = 0; i < len(*pattern); ++i)
		{
		}
		return SigSpec(this->get_cpp_obj()->extract(pattern_, other->get_cpp_obj()));
	}

	//WRAPPED RTLIL::SigSpec extract(int offset, int length = 1) const;
	SigSpec SigSpec::extract_int_int(int offset, int length)
	{
		return SigSpec(this->get_cpp_obj()->extract(offset, length));
	}

	//WRAPPED void append(const RTLIL::SigSpec &signal);
	void SigSpec::append(SigSpec *signal)
	{
		this->get_cpp_obj()->append(*signal->get_cpp_obj());
	}

	//WRAPPED void append_bit(const RTLIL::SigBit &bit);
	void SigSpec::append_bit(SigBit *bit)
	{
		this->get_cpp_obj()->append_bit(*bit->get_cpp_obj());
	}

	//WRAPPED void extend_u0(int width, bool is_signed = false);
	void SigSpec::extend_u0(int width, bool is_signed)
	{
		this->get_cpp_obj()->extend_u0(width, is_signed);
	}

	//WRAPPED RTLIL::SigSpec repeat(int num) const;
	SigSpec SigSpec::repeat(int num)
	{
		return SigSpec(this->get_cpp_obj()->repeat(num));
	}

	//WRAPPED bool is_wire() const;
	bool SigSpec::is_wire()
	{
		return this->get_cpp_obj()->is_wire();
	}

	//WRAPPED bool is_chunk() const;
	bool SigSpec::is_chunk()
	{
		return this->get_cpp_obj()->is_chunk();
	}

	//WRAPPED inline bool is_bit() const { return width_ == 1; }
	inline bool SigSpec::is_bit()
	{
		return this->get_cpp_obj()->is_bit();
	}

	//WRAPPED bool is_fully_const() const;
	bool SigSpec::is_fully_const()
	{
		return this->get_cpp_obj()->is_fully_const();
	}

	//WRAPPED bool is_fully_zero() const;
	bool SigSpec::is_fully_zero()
	{
		return this->get_cpp_obj()->is_fully_zero();
	}

	//WRAPPED bool is_fully_ones() const;
	bool SigSpec::is_fully_ones()
	{
		return this->get_cpp_obj()->is_fully_ones();
	}

	//WRAPPED bool is_fully_def() const;
	bool SigSpec::is_fully_def()
	{
		return this->get_cpp_obj()->is_fully_def();
	}

	//WRAPPED bool is_fully_undef() const;
	bool SigSpec::is_fully_undef()
	{
		return this->get_cpp_obj()->is_fully_undef();
	}

	//WRAPPED bool has_const() const;
	bool SigSpec::has_const()
	{
		return this->get_cpp_obj()->has_const();
	}

	//WRAPPED bool has_marked_bits() const;
	bool SigSpec::has_marked_bits()
	{
		return this->get_cpp_obj()->has_marked_bits();
	}

	//WRAPPED bool as_bool() const;
	bool SigSpec::as_bool()
	{
		return this->get_cpp_obj()->as_bool();
	}

	//WRAPPED int as_int(bool is_signed = false) const;
	int SigSpec::as_int(bool is_signed)
	{
		return this->get_cpp_obj()->as_int(is_signed);
	}

	//WRAPPED std::string as_string() const;
	std::string SigSpec::as_string()
	{
		return this->get_cpp_obj()->as_string();
	}

	//WRAPPED RTLIL::Const as_const() const;
	Const SigSpec::as_const()
	{
		return Const(this->get_cpp_obj()->as_const());
	}

	//WRAPPED RTLIL::Wire *as_wire() const;
	Wire SigSpec::as_wire()
	{
		return Wire(this->get_cpp_obj()->as_wire());
	}

	//WRAPPED RTLIL::SigChunk as_chunk() const;
	SigChunk SigSpec::as_chunk()
	{
		return SigChunk(this->get_cpp_obj()->as_chunk());
	}

	//WRAPPED RTLIL::SigBit as_bit() const;
	SigBit SigSpec::as_bit()
	{
		return SigBit(this->get_cpp_obj()->as_bit());
	}

	//WRAPPED bool match(std::string pattern) const;
	bool SigSpec::match(std::string pattern)
	{
		return this->get_cpp_obj()->match(pattern);
	}

	//WRAPPED static bool parse(RTLIL::SigSpec &sig, RTLIL::Module *module, std::string str);
	bool SigSpec::parse(SigSpec *sig, Module *module, std::string str)
	{
		return Yosys::RTLIL::SigSpec::parse(*sig->get_cpp_obj(), module->get_cpp_obj(), str);
	}

	//WRAPPED static bool parse_sel(RTLIL::SigSpec &sig, RTLIL::Design *design, RTLIL::Module *module, std::string str);
	bool SigSpec::parse_sel(SigSpec *sig, Design *design, Module *module, std::string str)
	{
		return Yosys::RTLIL::SigSpec::parse_sel(*sig->get_cpp_obj(), design->get_cpp_obj(), module->get_cpp_obj(), str);
	}

	//WRAPPED static bool parse_rhs(const RTLIL::SigSpec &lhs, RTLIL::SigSpec &sig, RTLIL::Module *module, std::string str);
	bool SigSpec::parse_rhs(SigSpec *lhs, SigSpec *sig, Module *module, std::string str)
	{
		return Yosys::RTLIL::SigSpec::parse_rhs(*lhs->get_cpp_obj(), *sig->get_cpp_obj(), module->get_cpp_obj(), str);
	}

	//WRAPPED unsigned int hash() const { if(!hash_) updhash(); return hash_; };
	unsigned int SigSpec::hash()
	{
		return this->get_cpp_obj()->hash();
	}

	//WRAPPED void check() const;
	void SigSpec::check()
	{
		this->get_cpp_obj()->check();
	}

	//WRAPPED unsigned int hash() const { return hashidx_; }
	unsigned int Cell::hash()
	{
		return this->get_cpp_obj()->hash();
	}

	//WRAPPED bool hasPort(RTLIL::IdString portname) const;
	bool Cell::hasPort(IdString *portname)
	{
		return this->get_cpp_obj()->hasPort(*portname->get_cpp_obj());
	}

	//WRAPPED void unsetPort(RTLIL::IdString portname);
	void Cell::unsetPort(IdString *portname)
	{
		this->get_cpp_obj()->unsetPort(*portname->get_cpp_obj());
	}

	//WRAPPED void setPort(RTLIL::IdString portname, RTLIL::SigSpec signal);
	void Cell::setPort(IdString *portname, SigSpec *signal)
	{
		this->get_cpp_obj()->setPort(*portname->get_cpp_obj(), *signal->get_cpp_obj());
	}

	//WRAPPED bool known() const;
	bool Cell::known()
	{
		return this->get_cpp_obj()->known();
	}

	//WRAPPED bool input(RTLIL::IdString portname) const;
	bool Cell::input(IdString *portname)
	{
		return this->get_cpp_obj()->input(*portname->get_cpp_obj());
	}

	//WRAPPED bool output(RTLIL::IdString portname) const;
	bool Cell::output(IdString *portname)
	{
		return this->get_cpp_obj()->output(*portname->get_cpp_obj());
	}

	//WRAPPED bool hasParam(RTLIL::IdString paramname) const;
	bool Cell::hasParam(IdString *paramname)
	{
		return this->get_cpp_obj()->hasParam(*paramname->get_cpp_obj());
	}

	//WRAPPED void unsetParam(RTLIL::IdString paramname);
	void Cell::unsetParam(IdString *paramname)
	{
		this->get_cpp_obj()->unsetParam(*paramname->get_cpp_obj());
	}

	//WRAPPED void setParam(RTLIL::IdString paramname, RTLIL::Const value);
	void Cell::setParam(IdString *paramname, Const *value)
	{
		this->get_cpp_obj()->setParam(*paramname->get_cpp_obj(), *value->get_cpp_obj());
	}

	//WRAPPED void fixup_parameters(bool set_a_signed = false, bool set_b_signed = false);
	void Cell::fixup_parameters(bool set_a_signed, bool set_b_signed)
	{
		this->get_cpp_obj()->fixup_parameters(set_a_signed, set_b_signed);
	}

	//WRAPPED bool has_keep_attr() const {
	bool Cell::has_keep_attr()
	{
		return this->get_cpp_obj()->has_keep_attr();
	}

	//WRAPPED unsigned int hash() const { return hashidx_; }
	unsigned int Wire::hash()
	{
		return this->get_cpp_obj()->hash();
	}

	//WRAPPED unsigned int hash() const { return hashidx_; }
	unsigned int Memory::hash()
	{
		return this->get_cpp_obj()->hash();
	}

	//WRAPPED unsigned int hash() const { return hashidx_; }
	unsigned int Module::hash()
	{
		return this->get_cpp_obj()->hash();
	}

	//WRAPPED void connect(const RTLIL::SigSig &conn);
	void Module::connect_SigSig(PyObject* conn)
	{
		if(!PyTuple_Check(conn) or PyTuple_Size(conn) != 2)
			throw std::logic_error("Tuple of two SigSpecs required");
		SigSpec conn_sp0 = boost::python::extract<SigSpec>(PyTuple_GetItem(conn, 0));
		SigSpec conn_sp1 = boost::python::extract<SigSpec>(PyTuple_GetItem(conn, 1));
		Yosys::RTLIL::SigSig conn_(conn_sp0.get_cpp_obj(), conn_sp1.get_cpp_obj());
		this->get_cpp_obj()->connect(conn_);
	}

	//WRAPPED void connect(const RTLIL::SigSpec &lhs, const RTLIL::SigSpec &rhs);
	void Module::connect_SigSpec_SigSpec(SigSpec *lhs, SigSpec *rhs)
	{
		this->get_cpp_obj()->connect(*lhs->get_cpp_obj(), *rhs->get_cpp_obj());
	}

	//WRAPPED void new_connections(const std::vector<RTLIL::SigSig> &new_conn);
	void Module::new_connections(boost::python::list *new_conn)
	{
		std::vector<Yosys::RTLIL::SigSig> new_conn_;
		for(int i = 0; i < len(*new_conn); ++i)
		{
		}
		this->get_cpp_obj()->new_connections(new_conn_);
	}

	//WRAPPED void cloneInto(RTLIL::Module *new_mod) const;
	void Module::cloneInto(Module *new_mod)
	{
		this->get_cpp_obj()->cloneInto(new_mod->get_cpp_obj());
	}

	//WRAPPED bool has_memories() const;
	bool Module::has_memories()
	{
		return this->get_cpp_obj()->has_memories();
	}

	//WRAPPED bool has_processes() const;
	bool Module::has_processes()
	{
		return this->get_cpp_obj()->has_processes();
	}

	//WRAPPED bool has_memories_warn() const;
	bool Module::has_memories_warn()
	{
		return this->get_cpp_obj()->has_memories_warn();
	}

	//WRAPPED bool has_processes_warn() const;
	bool Module::has_processes_warn()
	{
		return this->get_cpp_obj()->has_processes_warn();
	}

	//WRAPPED RTLIL::Wire* wire(RTLIL::IdString id) { return wires_.count(id) ? wires_.at(id) : nullptr; }
	Wire Module::wire(IdString *id)
	{
		return Wire(this->get_cpp_obj()->wire(*id->get_cpp_obj()));
	}

	//WRAPPED RTLIL::Cell* cell(RTLIL::IdString id) { return cells_.count(id) ? cells_.at(id) : nullptr; }
	Cell Module::cell(IdString *id)
	{
		return Cell(this->get_cpp_obj()->cell(*id->get_cpp_obj()));
	}

	//WRAPPED void remove(const pool<RTLIL::Wire*> &wires);
	void Module::remove_pool_Wire(boost::python::list *wires)
	{
		pool<Yosys::RTLIL::Wire*> wires_;
		for(int i = 0; i < len(*wires); ++i)
		{
		}
		this->get_cpp_obj()->remove(wires_);
	}

	//WRAPPED void remove(RTLIL::Cell *cell);
	void Module::remove_Cell(Cell *cell)
	{
		this->get_cpp_obj()->remove(cell->get_cpp_obj());
	}

	//WRAPPED void rename(RTLIL::Wire *wire, RTLIL::IdString new_name);
	void Module::rename_Wire_IdString(Wire *wire, IdString *new_name)
	{
		this->get_cpp_obj()->rename(wire->get_cpp_obj(), *new_name->get_cpp_obj());
	}

	//WRAPPED void rename(RTLIL::Cell *cell, RTLIL::IdString new_name);
	void Module::rename_Cell_IdString(Cell *cell, IdString *new_name)
	{
		this->get_cpp_obj()->rename(cell->get_cpp_obj(), *new_name->get_cpp_obj());
	}

	//WRAPPED void rename(RTLIL::IdString old_name, RTLIL::IdString new_name);
	void Module::rename_IdString_IdString(IdString *old_name, IdString *new_name)
	{
		this->get_cpp_obj()->rename(*old_name->get_cpp_obj(), *new_name->get_cpp_obj());
	}

	//WRAPPED void swap_names(RTLIL::Wire *w1, RTLIL::Wire *w2);
	void Module::swap_names_Wire_Wire(Wire *w1, Wire *w2)
	{
		this->get_cpp_obj()->swap_names(w1->get_cpp_obj(), w2->get_cpp_obj());
	}

	//WRAPPED void swap_names(RTLIL::Cell *c1, RTLIL::Cell *c2);
	void Module::swap_names_Cell_Cell(Cell *c1, Cell *c2)
	{
		this->get_cpp_obj()->swap_names(c1->get_cpp_obj(), c2->get_cpp_obj());
	}

	//WRAPPED RTLIL::IdString uniquify(RTLIL::IdString name);
	IdString Module::uniquify_IdString(IdString *name)
	{
		return IdString(this->get_cpp_obj()->uniquify(*name->get_cpp_obj()));
	}

	//WRAPPED RTLIL::IdString uniquify(RTLIL::IdString name, int &index);
	IdString Module::uniquify_IdString_int(IdString *name, int index)
	{
		return IdString(this->get_cpp_obj()->uniquify(*name->get_cpp_obj(), index));
	}

	//WRAPPED RTLIL::Wire *addWire(RTLIL::IdString name, int width = 1);
	Wire Module::addWire_IdString_int(IdString *name, int width)
	{
		return Wire(this->get_cpp_obj()->addWire(*name->get_cpp_obj(), width));
	}

	//WRAPPED RTLIL::Wire *addWire(RTLIL::IdString name, const RTLIL::Wire *other);
	Wire Module::addWire_IdString_Wire(IdString *name, Wire *other)
	{
		return Wire(this->get_cpp_obj()->addWire(*name->get_cpp_obj(), other->get_cpp_obj()));
	}

	//WRAPPED RTLIL::Cell *addCell(RTLIL::IdString name, RTLIL::IdString type);
	Cell Module::addCell_IdString_IdString(IdString *name, IdString *type)
	{
		return Cell(this->get_cpp_obj()->addCell(*name->get_cpp_obj(), *type->get_cpp_obj()));
	}

	//WRAPPED RTLIL::Cell *addCell(RTLIL::IdString name, const RTLIL::Cell *other);
	Cell Module::addCell_IdString_Cell(IdString *name, Cell *other)
	{
		return Cell(this->get_cpp_obj()->addCell(*name->get_cpp_obj(), other->get_cpp_obj()));
	}

	//WRAPPED RTLIL::Cell* addNot(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addNot(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addNot(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addPos(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addPos(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addPos(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addNeg(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addNeg(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addNeg(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addAnd(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addAnd(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addAnd(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addOr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addOr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addOr(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addXor(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addXor(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addXor(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addXnor(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addXnor(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addXnor(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addReduceAnd(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addReduceAnd(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addReduceAnd(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addReduceOr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addReduceOr(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addReduceOr(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addReduceXor(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addReduceXor(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addReduceXor(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addReduceXnor(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addReduceXnor(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addReduceXnor(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addReduceBool(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addReduceBool(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addReduceBool(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addShl(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addShl(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addShl(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addShr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addShr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addShr(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addSshl(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addSshl(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addSshl(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addSshr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addSshr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addSshr(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addShift(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addShift(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addShift(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addShiftx(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addShiftx(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addShiftx(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addLt(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addLt(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addLt(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addLe(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addLe(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addLe(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addEq(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addEq(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addEq(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addNe(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addNe(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addNe(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addEqx(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addEqx(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addEqx(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addNex(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addNex(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addNex(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addGe(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addGe(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addGe(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addGt(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addGt(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addGt(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addAdd(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addAdd(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addAdd(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addSub(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addSub(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addSub(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addMul(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addMul(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addMul(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addDiv(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addDiv(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addDiv(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addMod(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addMod(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addMod(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addPow(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool a_signed = false, bool b_signed = false, const std::string &src = "");
	Cell Module::addPow(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool a_signed, bool b_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addPow(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), a_signed, b_signed, src));
	}

	//WRAPPED RTLIL::Cell* addLogicNot(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addLogicNot(IdString *name, SigSpec *sig_a, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addLogicNot(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addLogicAnd(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addLogicAnd(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addLogicAnd(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addLogicOr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false, const std::string &src = "");
	Cell Module::addLogicOr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, bool is_signed, std::string src)
	{
		return Cell(this->get_cpp_obj()->addLogicOr(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::Cell* addMux(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_s, RTLIL::SigSpec sig_y, const std::string &src = "");
	Cell Module::addMux(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_s, SigSpec *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addMux(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_s->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addPmux(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_s, RTLIL::SigSpec sig_y, const std::string &src = "");
	Cell Module::addPmux(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_s, SigSpec *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addPmux(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_s->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addSlice(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, RTLIL::Const offset, const std::string &src = "");
	Cell Module::addSlice(IdString *name, SigSpec *sig_a, SigSpec *sig_y, Const *offset, std::string src)
	{
		return Cell(this->get_cpp_obj()->addSlice(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_y->get_cpp_obj(), *offset->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addConcat(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, const std::string &src = "");
	Cell Module::addConcat(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addConcat(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addLut(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, RTLIL::Const lut, const std::string &src = "");
	Cell Module::addLut(IdString *name, SigSpec *sig_a, SigSpec *sig_y, Const *lut, std::string src)
	{
		return Cell(this->get_cpp_obj()->addLut(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_y->get_cpp_obj(), *lut->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addTribuf(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_en, RTLIL::SigSpec sig_y, const std::string &src = "");
	Cell Module::addTribuf(IdString *name, SigSpec *sig_a, SigSpec *sig_en, SigSpec *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addTribuf(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_en->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addAssert(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_en, const std::string &src = "");
	Cell Module::addAssert(IdString *name, SigSpec *sig_a, SigSpec *sig_en, std::string src)
	{
		return Cell(this->get_cpp_obj()->addAssert(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_en->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addAssume(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_en, const std::string &src = "");
	Cell Module::addAssume(IdString *name, SigSpec *sig_a, SigSpec *sig_en, std::string src)
	{
		return Cell(this->get_cpp_obj()->addAssume(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_en->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addLive(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_en, const std::string &src = "");
	Cell Module::addLive(IdString *name, SigSpec *sig_a, SigSpec *sig_en, std::string src)
	{
		return Cell(this->get_cpp_obj()->addLive(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_en->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addFair(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_en, const std::string &src = "");
	Cell Module::addFair(IdString *name, SigSpec *sig_a, SigSpec *sig_en, std::string src)
	{
		return Cell(this->get_cpp_obj()->addFair(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_en->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addCover(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_en, const std::string &src = "");
	Cell Module::addCover(IdString *name, SigSpec *sig_a, SigSpec *sig_en, std::string src)
	{
		return Cell(this->get_cpp_obj()->addCover(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_en->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addEquiv(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, const std::string &src = "");
	Cell Module::addEquiv(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addEquiv(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addSr(RTLIL::IdString name, RTLIL::SigSpec sig_set, RTLIL::SigSpec sig_clr, RTLIL::SigSpec sig_q, bool set_polarity = true, bool clr_polarity = true, const std::string &src = "");
	Cell Module::addSr(IdString *name, SigSpec *sig_set, SigSpec *sig_clr, SigSpec *sig_q, bool set_polarity, bool clr_polarity, std::string src)
	{
		return Cell(this->get_cpp_obj()->addSr(*name->get_cpp_obj(), *sig_set->get_cpp_obj(), *sig_clr->get_cpp_obj(), *sig_q->get_cpp_obj(), set_polarity, clr_polarity, src));
	}

	//WRAPPED RTLIL::Cell* addFf(RTLIL::IdString name, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, const std::string &src = "");
	Cell Module::addFf(IdString *name, SigSpec *sig_d, SigSpec *sig_q, std::string src)
	{
		return Cell(this->get_cpp_obj()->addFf(*name->get_cpp_obj(), *sig_d->get_cpp_obj(), *sig_q->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addDff(RTLIL::IdString name, RTLIL::SigSpec sig_clk, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, bool clk_polarity = true, const std::string &src = "");
	Cell Module::addDff(IdString *name, SigSpec *sig_clk, SigSpec *sig_d, SigSpec *sig_q, bool clk_polarity, std::string src)
	{
		return Cell(this->get_cpp_obj()->addDff(*name->get_cpp_obj(), *sig_clk->get_cpp_obj(), *sig_d->get_cpp_obj(), *sig_q->get_cpp_obj(), clk_polarity, src));
	}

	//WRAPPED RTLIL::Cell* addDffe(RTLIL::IdString name, RTLIL::SigSpec sig_clk, RTLIL::SigSpec sig_en, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, bool clk_polarity = true, bool en_polarity = true, const std::string &src = "");
	Cell Module::addDffe(IdString *name, SigSpec *sig_clk, SigSpec *sig_en, SigSpec *sig_d, SigSpec *sig_q, bool clk_polarity, bool en_polarity, std::string src)
	{
		return Cell(this->get_cpp_obj()->addDffe(*name->get_cpp_obj(), *sig_clk->get_cpp_obj(), *sig_en->get_cpp_obj(), *sig_d->get_cpp_obj(), *sig_q->get_cpp_obj(), clk_polarity, en_polarity, src));
	}

	//WRAPPED RTLIL::Cell* addDlatch(RTLIL::IdString name, RTLIL::SigSpec sig_en, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, bool en_polarity = true, const std::string &src = "");
	Cell Module::addDlatch(IdString *name, SigSpec *sig_en, SigSpec *sig_d, SigSpec *sig_q, bool en_polarity, std::string src)
	{
		return Cell(this->get_cpp_obj()->addDlatch(*name->get_cpp_obj(), *sig_en->get_cpp_obj(), *sig_d->get_cpp_obj(), *sig_q->get_cpp_obj(), en_polarity, src));
	}

	//WRAPPED RTLIL::Cell* addBufGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addBufGate(IdString *name, SigBit *sig_a, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addBufGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addNotGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addNotGate(IdString *name, SigBit *sig_a, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addNotGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addAndGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addAndGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addAndGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addNandGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addNandGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addNandGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addOrGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addOrGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addOrGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addNorGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addNorGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addNorGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addXorGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addXorGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addXorGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addXnorGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addXnorGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addXnorGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addAndnotGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addAndnotGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addAndnotGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addOrnotGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addOrnotGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addOrnotGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addMuxGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_s, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addMuxGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_s, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addMuxGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_s->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addAoi3Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addAoi3Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addAoi3Gate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_c->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addOai3Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addOai3Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addOai3Gate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_c->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addAoi4Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_d, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addAoi4Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, SigBit *sig_d, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addAoi4Gate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_c->get_cpp_obj(), *sig_d->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addOai4Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_d, RTLIL::SigBit sig_y, const std::string &src = "");
	Cell Module::addOai4Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, SigBit *sig_d, SigBit *sig_y, std::string src)
	{
		return Cell(this->get_cpp_obj()->addOai4Gate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_c->get_cpp_obj(), *sig_d->get_cpp_obj(), *sig_y->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addFfGate(RTLIL::IdString name, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, const std::string &src = "");
	Cell Module::addFfGate(IdString *name, SigSpec *sig_d, SigSpec *sig_q, std::string src)
	{
		return Cell(this->get_cpp_obj()->addFfGate(*name->get_cpp_obj(), *sig_d->get_cpp_obj(), *sig_q->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::Cell* addDffGate(RTLIL::IdString name, RTLIL::SigSpec sig_clk, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, bool clk_polarity = true, const std::string &src = "");
	Cell Module::addDffGate(IdString *name, SigSpec *sig_clk, SigSpec *sig_d, SigSpec *sig_q, bool clk_polarity, std::string src)
	{
		return Cell(this->get_cpp_obj()->addDffGate(*name->get_cpp_obj(), *sig_clk->get_cpp_obj(), *sig_d->get_cpp_obj(), *sig_q->get_cpp_obj(), clk_polarity, src));
	}

	//WRAPPED RTLIL::Cell* addDffeGate(RTLIL::IdString name, RTLIL::SigSpec sig_clk, RTLIL::SigSpec sig_en, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, bool clk_polarity = true, bool en_polarity = true, const std::string &src = "");
	Cell Module::addDffeGate(IdString *name, SigSpec *sig_clk, SigSpec *sig_en, SigSpec *sig_d, SigSpec *sig_q, bool clk_polarity, bool en_polarity, std::string src)
	{
		return Cell(this->get_cpp_obj()->addDffeGate(*name->get_cpp_obj(), *sig_clk->get_cpp_obj(), *sig_en->get_cpp_obj(), *sig_d->get_cpp_obj(), *sig_q->get_cpp_obj(), clk_polarity, en_polarity, src));
	}

	//WRAPPED RTLIL::Cell* addDlatchGate(RTLIL::IdString name, RTLIL::SigSpec sig_en, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, bool en_polarity = true, const std::string &src = "");
	Cell Module::addDlatchGate(IdString *name, SigSpec *sig_en, SigSpec *sig_d, SigSpec *sig_q, bool en_polarity, std::string src)
	{
		return Cell(this->get_cpp_obj()->addDlatchGate(*name->get_cpp_obj(), *sig_en->get_cpp_obj(), *sig_d->get_cpp_obj(), *sig_q->get_cpp_obj(), en_polarity, src));
	}

	//WRAPPED RTLIL::SigSpec Not(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Not(IdString *name, SigSpec *sig_a, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Not(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Pos(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Pos(IdString *name, SigSpec *sig_a, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Pos(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Neg(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Neg(IdString *name, SigSpec *sig_a, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Neg(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec And(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::And(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->And(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Or(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Or(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Or(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Xor(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Xor(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Xor(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Xnor(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Xnor(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Xnor(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec ReduceAnd(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
	SigSpec Module::ReduceAnd(IdString *name, SigSpec *sig_a, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->ReduceAnd(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec ReduceOr(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
	SigSpec Module::ReduceOr(IdString *name, SigSpec *sig_a, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->ReduceOr(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec ReduceXor(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
	SigSpec Module::ReduceXor(IdString *name, SigSpec *sig_a, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->ReduceXor(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec ReduceXnor(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
	SigSpec Module::ReduceXnor(IdString *name, SigSpec *sig_a, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->ReduceXnor(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec ReduceBool(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
	SigSpec Module::ReduceBool(IdString *name, SigSpec *sig_a, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->ReduceBool(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Shl(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Shl(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Shl(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Shr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Shr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Shr(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Sshl(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Sshl(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Sshl(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Sshr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Sshr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Sshr(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Shift(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Shift(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Shift(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Shiftx(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Shiftx(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Shiftx(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Lt(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Lt(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Lt(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Le(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Le(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Le(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Eq(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Eq(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Eq(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Ne(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Ne(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Ne(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Eqx(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Eqx(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Eqx(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Nex(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Nex(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Nex(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Ge(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Ge(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Ge(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Gt(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Gt(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Gt(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Add(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Add(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Add(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Sub(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Sub(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Sub(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Mul(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Mul(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Mul(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Div(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Div(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Div(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Mod(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::Mod(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Mod(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec LogicNot(RTLIL::IdString name, RTLIL::SigSpec sig_a, bool is_signed = false, const std::string &src = "");
	SigSpec Module::LogicNot(IdString *name, SigSpec *sig_a, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->LogicNot(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec LogicAnd(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::LogicAnd(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->LogicAnd(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec LogicOr(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, bool is_signed = false, const std::string &src = "");
	SigSpec Module::LogicOr(IdString *name, SigSpec *sig_a, SigSpec *sig_b, bool is_signed, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->LogicOr(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), is_signed, src));
	}

	//WRAPPED RTLIL::SigSpec Mux(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_s, const std::string &src = "");
	SigSpec Module::Mux(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_s, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Mux(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_s->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigSpec Pmux(RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_s, const std::string &src = "");
	SigSpec Module::Pmux(IdString *name, SigSpec *sig_a, SigSpec *sig_b, SigSpec *sig_s, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Pmux(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_s->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit BufGate(RTLIL::IdString name, RTLIL::SigBit sig_a, const std::string &src = "");
	SigBit Module::BufGate(IdString *name, SigBit *sig_a, std::string src)
	{
		return SigBit(this->get_cpp_obj()->BufGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit NotGate(RTLIL::IdString name, RTLIL::SigBit sig_a, const std::string &src = "");
	SigBit Module::NotGate(IdString *name, SigBit *sig_a, std::string src)
	{
		return SigBit(this->get_cpp_obj()->NotGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit AndGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
	SigBit Module::AndGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src)
	{
		return SigBit(this->get_cpp_obj()->AndGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit NandGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
	SigBit Module::NandGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src)
	{
		return SigBit(this->get_cpp_obj()->NandGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit OrGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
	SigBit Module::OrGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src)
	{
		return SigBit(this->get_cpp_obj()->OrGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit NorGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
	SigBit Module::NorGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src)
	{
		return SigBit(this->get_cpp_obj()->NorGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit XorGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
	SigBit Module::XorGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src)
	{
		return SigBit(this->get_cpp_obj()->XorGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit XnorGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
	SigBit Module::XnorGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src)
	{
		return SigBit(this->get_cpp_obj()->XnorGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit AndnotGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
	SigBit Module::AndnotGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src)
	{
		return SigBit(this->get_cpp_obj()->AndnotGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit OrnotGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, const std::string &src = "");
	SigBit Module::OrnotGate(IdString *name, SigBit *sig_a, SigBit *sig_b, std::string src)
	{
		return SigBit(this->get_cpp_obj()->OrnotGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit MuxGate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_s, const std::string &src = "");
	SigBit Module::MuxGate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_s, std::string src)
	{
		return SigBit(this->get_cpp_obj()->MuxGate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_s->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit Aoi3Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, const std::string &src = "");
	SigBit Module::Aoi3Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, std::string src)
	{
		return SigBit(this->get_cpp_obj()->Aoi3Gate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_c->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit Oai3Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, const std::string &src = "");
	SigBit Module::Oai3Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, std::string src)
	{
		return SigBit(this->get_cpp_obj()->Oai3Gate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_c->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit Aoi4Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_d, const std::string &src = "");
	SigBit Module::Aoi4Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, SigBit *sig_d, std::string src)
	{
		return SigBit(this->get_cpp_obj()->Aoi4Gate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_c->get_cpp_obj(), *sig_d->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigBit Oai4Gate(RTLIL::IdString name, RTLIL::SigBit sig_a, RTLIL::SigBit sig_b, RTLIL::SigBit sig_c, RTLIL::SigBit sig_d, const std::string &src = "");
	SigBit Module::Oai4Gate(IdString *name, SigBit *sig_a, SigBit *sig_b, SigBit *sig_c, SigBit *sig_d, std::string src)
	{
		return SigBit(this->get_cpp_obj()->Oai4Gate(*name->get_cpp_obj(), *sig_a->get_cpp_obj(), *sig_b->get_cpp_obj(), *sig_c->get_cpp_obj(), *sig_d->get_cpp_obj(), src));
	}

	//WRAPPED RTLIL::SigSpec Anyconst(RTLIL::IdString name, int width = 1, const std::string &src = "");
	SigSpec Module::Anyconst(IdString *name, int width, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Anyconst(*name->get_cpp_obj(), width, src));
	}

	//WRAPPED RTLIL::SigSpec Anyseq(RTLIL::IdString name, int width = 1, const std::string &src = "");
	SigSpec Module::Anyseq(IdString *name, int width, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Anyseq(*name->get_cpp_obj(), width, src));
	}

	//WRAPPED RTLIL::SigSpec Allconst(RTLIL::IdString name, int width = 1, const std::string &src = "");
	SigSpec Module::Allconst(IdString *name, int width, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Allconst(*name->get_cpp_obj(), width, src));
	}

	//WRAPPED RTLIL::SigSpec Allseq(RTLIL::IdString name, int width = 1, const std::string &src = "");
	SigSpec Module::Allseq(IdString *name, int width, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Allseq(*name->get_cpp_obj(), width, src));
	}

	//WRAPPED RTLIL::SigSpec Initstate(RTLIL::IdString name, const std::string &src = "");
	SigSpec Module::Initstate(IdString *name, std::string src)
	{
		return SigSpec(this->get_cpp_obj()->Initstate(*name->get_cpp_obj(), src));
	}

	//WRAPPED unsigned int hash() const { return hashidx_; }
	unsigned int Design::hash()
	{
		return this->get_cpp_obj()->hash();
	}

	//WRAPPED RTLIL::Module *module(RTLIL::IdString name);
	Module Design::module(IdString *name)
	{
		return Module(this->get_cpp_obj()->module(*name->get_cpp_obj()));
	}

	//WRAPPED bool has(RTLIL::IdString id) const {
	bool Design::has(IdString *id)
	{
		return this->get_cpp_obj()->has(*id->get_cpp_obj());
	}

	//WRAPPED void add(RTLIL::Module *module);
	void Design::add(Module *module)
	{
		this->get_cpp_obj()->add(module->get_cpp_obj());
	}

	//WRAPPED RTLIL::Module *addModule(RTLIL::IdString name);
	Module Design::addModule(IdString *name)
	{
		return Module(this->get_cpp_obj()->addModule(*name->get_cpp_obj()));
	}

	//WRAPPED void remove(RTLIL::Module *module);
	void Design::remove(Module *module)
	{
		this->get_cpp_obj()->remove(module->get_cpp_obj());
	}

	//WRAPPED void rename(RTLIL::Module *module, RTLIL::IdString new_name);
	void Design::rename(Module *module, IdString *new_name)
	{
		this->get_cpp_obj()->rename(module->get_cpp_obj(), *new_name->get_cpp_obj());
	}

	//WRAPPED void scratchpad_unset(std::string varname);
	void Design::scratchpad_unset(std::string varname)
	{
		this->get_cpp_obj()->scratchpad_unset(varname);
	}

	//WRAPPED void scratchpad_set_int(std::string varname, int value);
	void Design::scratchpad_set_int(std::string varname, int value)
	{
		this->get_cpp_obj()->scratchpad_set_int(varname, value);
	}

	//WRAPPED void scratchpad_set_bool(std::string varname, bool value);
	void Design::scratchpad_set_bool(std::string varname, bool value)
	{
		this->get_cpp_obj()->scratchpad_set_bool(varname, value);
	}

	//WRAPPED void scratchpad_set_string(std::string varname, std::string value);
	void Design::scratchpad_set_string(std::string varname, std::string value)
	{
		this->get_cpp_obj()->scratchpad_set_string(varname, value);
	}

	//WRAPPED int scratchpad_get_int(std::string varname, int default_value = 0) const;
	int Design::scratchpad_get_int(std::string varname, int default_value)
	{
		return this->get_cpp_obj()->scratchpad_get_int(varname, default_value);
	}

	//WRAPPED bool scratchpad_get_bool(std::string varname, bool default_value = false) const;
	bool Design::scratchpad_get_bool(std::string varname, bool default_value)
	{
		return this->get_cpp_obj()->scratchpad_get_bool(varname, default_value);
	}

	//WRAPPED std::string scratchpad_get_string(std::string varname, std::string default_value = std::string()) const;
	std::string Design::scratchpad_get_string(std::string varname, std::string default_value)
	{
		return this->get_cpp_obj()->scratchpad_get_string(varname, default_value);
	}

	//WRAPPED bool selected_module(RTLIL::IdString mod_name) const;
	bool Design::selected_module_IdString(IdString *mod_name)
	{
		return this->get_cpp_obj()->selected_module(*mod_name->get_cpp_obj());
	}

	//WRAPPED bool selected_whole_module(RTLIL::IdString mod_name) const;
	bool Design::selected_whole_module_IdString(IdString *mod_name)
	{
		return this->get_cpp_obj()->selected_whole_module(*mod_name->get_cpp_obj());
	}

	//WRAPPED bool selected_member(RTLIL::IdString mod_name, RTLIL::IdString memb_name) const;
	bool Design::selected_member(IdString *mod_name, IdString *memb_name)
	{
		return this->get_cpp_obj()->selected_member(*mod_name->get_cpp_obj(), *memb_name->get_cpp_obj());
	}

	//WRAPPED bool selected_module(RTLIL::Module *mod) const;
	bool Design::selected_module_Module(Module *mod)
	{
		return this->get_cpp_obj()->selected_module(mod->get_cpp_obj());
	}

	//WRAPPED bool selected_whole_module(RTLIL::Module *mod) const;
	bool Design::selected_whole_module_Module(Module *mod)
	{
		return this->get_cpp_obj()->selected_whole_module(mod->get_cpp_obj());
	}

	//WRAPPED bool full_selection() const {
	bool Design::full_selection()
	{
		return this->get_cpp_obj()->full_selection();
	}

	struct Initializer
	{
		Initializer() {
			if(!Yosys::yosys_already_setup())
			{
				Yosys::log_streams.push_back(&std::cout);
				Yosys::log_error_stderr = true;
				Yosys::yosys_setup();
				Yosys::yosys_banner();
			}
		}

		Initializer(Initializer const &) {}

		~Initializer() {
			Yosys::yosys_shutdown();
		}
	};

	BOOST_PYTHON_MODULE(libyosys)
	{
		using namespace boost::python;

				enum_<Yosys::RTLIL::State>("State")
					.value("S0",Yosys::RTLIL::S0)
					.value("S1",Yosys::RTLIL::S1)
					.value("Sx",Yosys::RTLIL::Sx)
					.value("Sz",Yosys::RTLIL::Sz)
					.value("Sa",Yosys::RTLIL::Sa)
					.value("Sm",Yosys::RTLIL::Sm)
				;

				enum_<Yosys::RTLIL::SyncType>("SyncType")
					.value("ST0",Yosys::RTLIL::ST0)
					.value("ST1",Yosys::RTLIL::ST1)
					.value("STp",Yosys::RTLIL::STp)
					.value("STn",Yosys::RTLIL::STn)
					.value("STe",Yosys::RTLIL::STe)
					.value("STa",Yosys::RTLIL::STa)
					.value("STg",Yosys::RTLIL::STg)
					.value("STi",Yosys::RTLIL::STi)
				;

				enum_<Yosys::RTLIL::ConstFlags>("ConstFlags")
					.value("CONST_FLAG_NONE",Yosys::RTLIL::CONST_FLAG_NONE)
					.value("CONST_FLAG_STRING",Yosys::RTLIL::CONST_FLAG_STRING)
					.value("CONST_FLAG_SIGNED",Yosys::RTLIL::CONST_FLAG_SIGNED)
					.value("CONST_FLAG_REAL",Yosys::RTLIL::CONST_FLAG_REAL)
				;

		class_<MonitorWrap, boost::noncopyable>("Monitor")
			.def("py_notify_module_add", &Monitor::py_notify_module_add, &MonitorWrap::default_py_notify_module_add)
			.def("py_notify_module_del", &Monitor::py_notify_module_del, &MonitorWrap::default_py_notify_module_del)
			.def("py_notify_connect_cell", &Monitor::py_notify_connect_cell, &MonitorWrap::default_py_notify_connect_cell)
			.def("py_notify_connect_tuple", &Monitor::py_notify_connect_tuple, &MonitorWrap::default_py_notify_connect_tuple)
			.def("py_notify_connect_list", &Monitor::py_notify_connect_list, &MonitorWrap::default_py_notify_connect_list)
			.def("py_notify_blackout", &Monitor::py_notify_blackout, &MonitorWrap::default_py_notify_blackout)
			;

		class_<PassWrap, boost::noncopyable>("Pass", init<std::string, std::string>())
			.def("py_execute", &PyPass::py_execute, &PassWrap::default_py_execute)
			.def("py_help", &PyPass::py_help, &PassWrap::default_py_help)
			;

		class_<Initializer>("Initializer");
		scope().attr("_hidden") = new Initializer();

		class_<IdString>("IdString")
			.def(init<std::string>())
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("get_reference", &IdString::get_reference)
			.def("put_reference", &IdString::put_reference)
			.def("str", &IdString::str)
			.def("substr", &IdString::substr)
			.def("size", &IdString::size)
			.def("empty", &IdString::empty)
			.def("clear", &IdString::clear)
			.def("hash", &IdString::hash)
			.def("in_IdString", &IdString::in_IdString)
			.def("in_std_string", &IdString::in_std_string)
			.def("in_pool_IdString", &IdString::in_pool_IdString)
			.def(self < self)
			.def(self == self)
			.def(self != self)
			;

		class_<Const>("Const")
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("as_bool", &Const::as_bool)
			.def("as_int", &Const::as_int)
			.def("as_string", &Const::as_string)
			.def("from_string", &Const::from_string)
			.def("decode_string", &Const::decode_string)
			.def("size", &Const::size)
			.def("is_fully_zero", &Const::is_fully_zero)
			.def("is_fully_ones", &Const::is_fully_ones)
			.def("is_fully_def", &Const::is_fully_def)
			.def("is_fully_undef", &Const::is_fully_undef)
			.def("extract", &Const::extract)
			.def("hash", &Const::hash)
			.def(self < self)
			.def(self == self)
			.def(self != self)
			;

		class_<CaseRule>("CaseRule")
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("clone", &CaseRule::clone)
			;

		class_<SwitchRule>("SwitchRule")
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("clone", &SwitchRule::clone)
			;

		class_<SyncRule>("SyncRule")
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("clone", &SyncRule::clone)
			;

		class_<Process>("Process")
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("clone", &Process::clone)
			;

		class_<SigChunk>("SigChunk")
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("extract", &SigChunk::extract)
			.def(self < self)
			.def(self == self)
			.def(self != self)
			;

		class_<SigBit>("SigBit")
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("hash", &SigBit::hash)
			.def(self < self)
			.def(self == self)
			.def(self != self)
			;

		class_<SigSpec>("SigSpec")
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("get_hash", &SigSpec::get_hash)
			.def("size", &SigSpec::size)
			.def("empty", &SigSpec::empty)
			.def("replace_SigSpec_SigSpec", &SigSpec::replace_SigSpec_SigSpec)
			.def("replace_SigSpec_SigSpec_SigSpec", &SigSpec::replace_SigSpec_SigSpec_SigSpec)
			.def("replace_int_SigSpec", &SigSpec::replace_int_SigSpec)
			.def("remove_SigSpec", &SigSpec::remove_SigSpec)
			.def("remove_SigSpec_SigSpec", &SigSpec::remove_SigSpec_SigSpec)
			.def("remove2_SigSpec_SigSpec", &SigSpec::remove2_SigSpec_SigSpec)
			.def("remove_pool_SigBit", &SigSpec::remove_pool_SigBit)
			.def("remove_pool_SigBit_SigSpec", &SigSpec::remove_pool_SigBit_SigSpec)
			.def("remove2_pool_SigBit_SigSpec", &SigSpec::remove2_pool_SigBit_SigSpec)
			.def("remove_int_int", &SigSpec::remove_int_int)
			.def("extract_SigSpec_SigSpec", &SigSpec::extract_SigSpec_SigSpec)
			.def("extract_pool_SigBit_SigSpec", &SigSpec::extract_pool_SigBit_SigSpec)
			.def("extract_int_int", &SigSpec::extract_int_int)
			.def("append", &SigSpec::append)
			.def("append_bit", &SigSpec::append_bit)
			.def("extend_u0", &SigSpec::extend_u0)
			.def("repeat", &SigSpec::repeat)
			.def("is_wire", &SigSpec::is_wire)
			.def("is_chunk", &SigSpec::is_chunk)
			.def("is_bit", &SigSpec::is_bit)
			.def("is_fully_const", &SigSpec::is_fully_const)
			.def("is_fully_zero", &SigSpec::is_fully_zero)
			.def("is_fully_ones", &SigSpec::is_fully_ones)
			.def("is_fully_def", &SigSpec::is_fully_def)
			.def("is_fully_undef", &SigSpec::is_fully_undef)
			.def("has_const", &SigSpec::has_const)
			.def("has_marked_bits", &SigSpec::has_marked_bits)
			.def("as_bool", &SigSpec::as_bool)
			.def("as_int", &SigSpec::as_int)
			.def("as_string", &SigSpec::as_string)
			.def("as_const", &SigSpec::as_const)
			.def("as_wire", &SigSpec::as_wire)
			.def("as_chunk", &SigSpec::as_chunk)
			.def("as_bit", &SigSpec::as_bit)
			.def("match", &SigSpec::match)
			.def("parse", &SigSpec::parse)
			.def("parse_sel", &SigSpec::parse_sel)
			.def("parse_rhs", &SigSpec::parse_rhs)
			.def("hash", &SigSpec::hash)
			.def("check", &SigSpec::check)
			.def(self < self)
			.def(self == self)
			.def(self != self)
			;

		class_<Cell>("Cell", no_init)
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("hash", &Cell::hash)
			.def("hasPort", &Cell::hasPort)
			.def("unsetPort", &Cell::unsetPort)
			.def("setPort", &Cell::setPort)
			.def("known", &Cell::known)
			.def("input", &Cell::input)
			.def("output", &Cell::output)
			.def("hasParam", &Cell::hasParam)
			.def("unsetParam", &Cell::unsetParam)
			.def("setParam", &Cell::setParam)
			.def("fixup_parameters", &Cell::fixup_parameters)
			.def("has_keep_attr", &Cell::has_keep_attr)
			;

		class_<Wire>("Wire", no_init)
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("hash", &Wire::hash)
			;

		class_<Memory>("Memory", no_init)
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("hash", &Memory::hash)
			;

		class_<Module>("Module")
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("get_cells", &Module::get_cells)
			.def("get_wires", &Module::get_wires)
			.def("register_monitor", &Module::register_monitor)
			.def("hash", &Module::hash)
			.def("connect_SigSig", &Module::connect_SigSig)
			.def("connect_SigSpec_SigSpec", &Module::connect_SigSpec_SigSpec)
			.def("new_connections", &Module::new_connections)
			.def("cloneInto", &Module::cloneInto)
			.def("has_memories", &Module::has_memories)
			.def("has_processes", &Module::has_processes)
			.def("has_memories_warn", &Module::has_memories_warn)
			.def("has_processes_warn", &Module::has_processes_warn)
			.def("wire", &Module::wire)
			.def("cell", &Module::cell)
			.def("remove_pool_Wire", &Module::remove_pool_Wire)
			.def("remove_Cell", &Module::remove_Cell)
			.def("rename_Wire_IdString", &Module::rename_Wire_IdString)
			.def("rename_Cell_IdString", &Module::rename_Cell_IdString)
			.def("rename_IdString_IdString", &Module::rename_IdString_IdString)
			.def("swap_names_Wire_Wire", &Module::swap_names_Wire_Wire)
			.def("swap_names_Cell_Cell", &Module::swap_names_Cell_Cell)
			.def("uniquify_IdString", &Module::uniquify_IdString)
			.def("uniquify_IdString_int", &Module::uniquify_IdString_int)
			.def("addWire_IdString_int", &Module::addWire_IdString_int)
			.def("addWire_IdString_Wire", &Module::addWire_IdString_Wire)
			.def("addCell_IdString_IdString", &Module::addCell_IdString_IdString)
			.def("addCell_IdString_Cell", &Module::addCell_IdString_Cell)
			.def("addNot", &Module::addNot)
			.def("addPos", &Module::addPos)
			.def("addNeg", &Module::addNeg)
			.def("addAnd", &Module::addAnd)
			.def("addOr", &Module::addOr)
			.def("addXor", &Module::addXor)
			.def("addXnor", &Module::addXnor)
			.def("addReduceAnd", &Module::addReduceAnd)
			.def("addReduceOr", &Module::addReduceOr)
			.def("addReduceXor", &Module::addReduceXor)
			.def("addReduceXnor", &Module::addReduceXnor)
			.def("addReduceBool", &Module::addReduceBool)
			.def("addShl", &Module::addShl)
			.def("addShr", &Module::addShr)
			.def("addSshl", &Module::addSshl)
			.def("addSshr", &Module::addSshr)
			.def("addShift", &Module::addShift)
			.def("addShiftx", &Module::addShiftx)
			.def("addLt", &Module::addLt)
			.def("addLe", &Module::addLe)
			.def("addEq", &Module::addEq)
			.def("addNe", &Module::addNe)
			.def("addEqx", &Module::addEqx)
			.def("addNex", &Module::addNex)
			.def("addGe", &Module::addGe)
			.def("addGt", &Module::addGt)
			.def("addAdd", &Module::addAdd)
			.def("addSub", &Module::addSub)
			.def("addMul", &Module::addMul)
			.def("addDiv", &Module::addDiv)
			.def("addMod", &Module::addMod)
			.def("addPow", &Module::addPow)
			.def("addLogicNot", &Module::addLogicNot)
			.def("addLogicAnd", &Module::addLogicAnd)
			.def("addLogicOr", &Module::addLogicOr)
			.def("addMux", &Module::addMux)
			.def("addPmux", &Module::addPmux)
			.def("addSlice", &Module::addSlice)
			.def("addConcat", &Module::addConcat)
			.def("addLut", &Module::addLut)
			.def("addTribuf", &Module::addTribuf)
			.def("addAssert", &Module::addAssert)
			.def("addAssume", &Module::addAssume)
			.def("addLive", &Module::addLive)
			.def("addFair", &Module::addFair)
			.def("addCover", &Module::addCover)
			.def("addEquiv", &Module::addEquiv)
			.def("addSr", &Module::addSr)
			.def("addFf", &Module::addFf)
			.def("addDff", &Module::addDff)
			.def("addDffe", &Module::addDffe)
			.def("addDlatch", &Module::addDlatch)
			.def("addBufGate", &Module::addBufGate)
			.def("addNotGate", &Module::addNotGate)
			.def("addAndGate", &Module::addAndGate)
			.def("addNandGate", &Module::addNandGate)
			.def("addOrGate", &Module::addOrGate)
			.def("addNorGate", &Module::addNorGate)
			.def("addXorGate", &Module::addXorGate)
			.def("addXnorGate", &Module::addXnorGate)
			.def("addAndnotGate", &Module::addAndnotGate)
			.def("addOrnotGate", &Module::addOrnotGate)
			.def("addMuxGate", &Module::addMuxGate)
			.def("addAoi3Gate", &Module::addAoi3Gate)
			.def("addOai3Gate", &Module::addOai3Gate)
			.def("addAoi4Gate", &Module::addAoi4Gate)
			.def("addOai4Gate", &Module::addOai4Gate)
			.def("addFfGate", &Module::addFfGate)
			.def("addDffGate", &Module::addDffGate)
			.def("addDffeGate", &Module::addDffeGate)
			.def("addDlatchGate", &Module::addDlatchGate)
			.def("Not", &Module::Not)
			.def("Pos", &Module::Pos)
			.def("Neg", &Module::Neg)
			.def("And", &Module::And)
			.def("Or", &Module::Or)
			.def("Xor", &Module::Xor)
			.def("Xnor", &Module::Xnor)
			.def("ReduceAnd", &Module::ReduceAnd)
			.def("ReduceOr", &Module::ReduceOr)
			.def("ReduceXor", &Module::ReduceXor)
			.def("ReduceXnor", &Module::ReduceXnor)
			.def("ReduceBool", &Module::ReduceBool)
			.def("Shl", &Module::Shl)
			.def("Shr", &Module::Shr)
			.def("Sshl", &Module::Sshl)
			.def("Sshr", &Module::Sshr)
			.def("Shift", &Module::Shift)
			.def("Shiftx", &Module::Shiftx)
			.def("Lt", &Module::Lt)
			.def("Le", &Module::Le)
			.def("Eq", &Module::Eq)
			.def("Ne", &Module::Ne)
			.def("Eqx", &Module::Eqx)
			.def("Nex", &Module::Nex)
			.def("Ge", &Module::Ge)
			.def("Gt", &Module::Gt)
			.def("Add", &Module::Add)
			.def("Sub", &Module::Sub)
			.def("Mul", &Module::Mul)
			.def("Div", &Module::Div)
			.def("Mod", &Module::Mod)
			.def("LogicNot", &Module::LogicNot)
			.def("LogicAnd", &Module::LogicAnd)
			.def("LogicOr", &Module::LogicOr)
			.def("Mux", &Module::Mux)
			.def("Pmux", &Module::Pmux)
			.def("BufGate", &Module::BufGate)
			.def("NotGate", &Module::NotGate)
			.def("AndGate", &Module::AndGate)
			.def("NandGate", &Module::NandGate)
			.def("OrGate", &Module::OrGate)
			.def("NorGate", &Module::NorGate)
			.def("XorGate", &Module::XorGate)
			.def("XnorGate", &Module::XnorGate)
			.def("AndnotGate", &Module::AndnotGate)
			.def("OrnotGate", &Module::OrnotGate)
			.def("MuxGate", &Module::MuxGate)
			.def("Aoi3Gate", &Module::Aoi3Gate)
			.def("Oai3Gate", &Module::Oai3Gate)
			.def("Aoi4Gate", &Module::Aoi4Gate)
			.def("Oai4Gate", &Module::Oai4Gate)
			.def("Anyconst", &Module::Anyconst)
			.def("Anyseq", &Module::Anyseq)
			.def("Allconst", &Module::Allconst)
			.def("Allseq", &Module::Allseq)
			.def("Initstate", &Module::Initstate)
			;

		class_<Design>("Design")
			.def(boost::python::self_ns::str(boost::python::self_ns::self))
			.def(boost::python::self_ns::repr(boost::python::self_ns::self))
			.def("get_modules", &Design::get_modules)
			.def("run", &Design::run)
			.def("register_monitor", &Design::register_monitor)
			.def("hash", &Design::hash)
			.def("module", &Design::module)
			.def("has", &Design::has)
			.def("add", &Design::add)
			.def("addModule", &Design::addModule)
			.def("remove", &Design::remove)
			.def("rename", &Design::rename)
			.def("scratchpad_unset", &Design::scratchpad_unset)
			.def("scratchpad_set_int", &Design::scratchpad_set_int)
			.def("scratchpad_set_bool", &Design::scratchpad_set_bool)
			.def("scratchpad_set_string", &Design::scratchpad_set_string)
			.def("scratchpad_get_int", &Design::scratchpad_get_int)
			.def("scratchpad_get_bool", &Design::scratchpad_get_bool)
			.def("scratchpad_get_string", &Design::scratchpad_get_string)
			.def("selected_module_IdString", &Design::selected_module_IdString)
			.def("selected_whole_module_IdString", &Design::selected_whole_module_IdString)
			.def("selected_member", &Design::selected_member)
			.def("selected_module_Module", &Design::selected_module_Module)
			.def("selected_whole_module_Module", &Design::selected_whole_module_Module)
			.def("full_selection", &Design::full_selection)
			;

		def("escape_id", escape_id);
		def("unescape_id_std_string", unescape_id_std_string);
		def("unescape_id_IdString", unescape_id_IdString);
		def("const_not", const_not);
		def("const_and", const_and);
		def("const_or", const_or);
		def("const_xor", const_xor);
		def("const_xnor", const_xnor);
		def("const_reduce_and", const_reduce_and);
		def("const_reduce_or", const_reduce_or);
		def("const_reduce_xor", const_reduce_xor);
		def("const_reduce_xnor", const_reduce_xnor);
		def("const_reduce_bool", const_reduce_bool);
		def("const_logic_not", const_logic_not);
		def("const_logic_and", const_logic_and);
		def("const_logic_or", const_logic_or);
		def("const_shl", const_shl);
		def("const_shr", const_shr);
		def("const_sshl", const_sshl);
		def("const_sshr", const_sshr);
		def("const_shift", const_shift);
		def("const_shiftx", const_shiftx);
		def("const_lt", const_lt);
		def("const_le", const_le);
		def("const_eq", const_eq);
		def("const_ne", const_ne);
		def("const_eqx", const_eqx);
		def("const_nex", const_nex);
		def("const_ge", const_ge);
		def("const_gt", const_gt);
		def("const_add", const_add);
		def("const_sub", const_sub);
		def("const_mul", const_mul);
		def("const_div", const_div);
		def("const_mod", const_mod);
		def("const_pow", const_pow);
		def("const_pos", const_pos);
		def("const_neg", const_neg);
		def("run",run);
		def("log",log);

	}

}
#endif