aboutsummaryrefslogtreecommitdiffstats
path: root/examples/mimas2/example.v
blob: 2a91173936aa7c3ffaff580999d9f420a39e6551 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
module example(
	input wire CLK,
	output wire [7:0] LED
);

reg [27:0] ctr;
initial ctr = 0;

always @(posedge CLK)
	ctr <= ctr + 1;

assign LED = ctr[27:20];

endmodule