aboutsummaryrefslogtreecommitdiffstats
path: root/examples/gowin/demo.v
blob: 485fec97f4932038e22821c9724c14afe3200b10 (plain)
1
2
3
4
5
6
7
8
9
10
module demo (
	input clk,
	output [15:0] leds,
	output unused
);
	localparam PRESCALE = 20;
	reg [PRESCALE+3:0] counter = 0;
	always @(posedge clk) counter <= counter + 1;
	assign leds = 1 << counter[PRESCALE +: 4];
endmodule