aboutsummaryrefslogtreecommitdiffstats
path: root/examples/basys3/example.xdc
blob: 8cdaa19964e6b089a9f4f6d316dd6570c5ab32a2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24