aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/genfor_decl_no_sv.ys
Commit message (Collapse)AuthorAgeFilesLines
* sv: support declaration in generate for initializationZachary Snow2021-08-311-0/+7
This is accomplished by generating a unique name for the genvar, renaming references to the genvar only in the loop's initialization, guard, and incrementation, and finally adding a localparam inside the loop body with the original name so that the genvar can be shadowed as expected.