aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svtypes/typedef_package.sv
Commit message (Collapse)AuthorAgeFilesLines
* support using previously declared types/localparams/params in packageJeff Wang2020-04-071-3/+8
| | | | | | | (parameters in systemverilog packages can't actually be overridden, so allowing parameters in addition to localparams doesn't actually add any new functionality, but it's useful to be able to use the parameter keyword also)
* Revert typedef tests to standard grammar.Peter2020-03-221-2/+2
|
* enum in package testJeff Wang2020-01-161-0/+3
|
* Use "(id)" instead of "id" for types as temporary hackClifford Wolf2019-10-141-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* sv: Improve testsDavid Shah2019-10-031-1/+1
| | | | Signed-off-by: David Shah <dave@ds0.me>
* sv: Fix typedefs in packagesDavid Shah2019-10-031-0/+11
Signed-off-by: David Shah <dave@ds0.me>