aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svtypes/typedef_package.sv
diff options
context:
space:
mode:
authorPeter <peter@crozier.com>2020-02-27 16:59:19 +0000
committerGrazfather <grazfather@gmail.com>2020-03-22 18:20:46 -0700
commit6d8d6b402fafd31ef555415b9f4b9e17941337ea (patch)
tree54ef6f1b1e398db15338e2ac145470c3efa2bc59 /tests/svtypes/typedef_package.sv
parent14f32028ec878b8ba7324584631523f5b571b39f (diff)
downloadyosys-6d8d6b402fafd31ef555415b9f4b9e17941337ea.tar.gz
yosys-6d8d6b402fafd31ef555415b9f4b9e17941337ea.tar.bz2
yosys-6d8d6b402fafd31ef555415b9f4b9e17941337ea.zip
Revert typedef tests to standard grammar.
Diffstat (limited to 'tests/svtypes/typedef_package.sv')
-rw-r--r--tests/svtypes/typedef_package.sv4
1 files changed, 2 insertions, 2 deletions
diff --git a/tests/svtypes/typedef_package.sv b/tests/svtypes/typedef_package.sv
index b766f10cf..57a78c53a 100644
--- a/tests/svtypes/typedef_package.sv
+++ b/tests/svtypes/typedef_package.sv
@@ -5,8 +5,8 @@ endpackage
module top;
- (* keep *) (pkg::uint8_t) a = 8'hAA;
- (* keep *) (pkg::enum8_t) b_enum = pkg::bb;
+ (* keep *) pkg::uint8_t a = 8'hAA;
+ (* keep *) pkg::enum8_t b_enum = pkg::bb;
always @* assert(a == 8'hAA);
always @* assert(b_enum == 8'hBB);