aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Collapse)AuthorAgeFilesLines
* intel_alm: better map wide but shallow multipliesDan Ravensloft2020-08-281-2/+6
|
* intel_alm: Add multiply signedness to cellsDan Ravensloft2020-08-265-10/+103
| | | | | | Quartus assumes unsigned multiplication by default, breaking signed multiplies, so add an input signedness parameter to the MISTRAL_MUL* cells to propagate to Quartus' <family>_mac cells.
* synth_intel: Remove incomplete Arria 10 GX support.Marcelina Kościelnicka2020-08-215-192/+4
| | | | | | The techmap rules for this target do not work in the first place (note lack of >2-input LUT mappings), and if proper support is ever added, it'd be better placed in the synth_intel_alm backend.
* intel: move Cyclone V support to intel_almDan Ravensloft2020-08-207-203/+11
|
* Merge pull request #2347 from YosysHQ/mwk/techmap-shift-fixesclairexen2020-08-201-67/+35
|\ | | | | techmap/shift_shiftx: Remove the "shiftx2mux" special path.
| * techmap/shift_shiftx: Remove the "shiftx2mux" special path.Marcelina Kościelnicka2020-08-201-67/+35
| | | | | | | | | | | | | | | | | | | | | | | | | | | | Our techmap rules for $shift and $shiftx cells contained a special path that aimed to decompose the shift LSB-first instead of MSB-first in select cases that come up in pmux lowering. This path was needlessly overcomplicated and contained bugs. Instead of doing that, just switch over the main path to iterate LSB-first (except for the specially-handled MSB for signed shifts and overflow handling). This also makes the code consistent with shl/shr/sshl/sshr cells, which are already decomposed LSB-first. Fixes #2346.
* | Merge pull request #2319 from YosysHQ/mwk/techmap-celltype-patternclairexen2020-08-202-4/+4
|\ \ | |/ |/| techmap: Add support for [] wildcards in techmap_celltype.
| * techmap: Add support for [] wildcards in techmap_celltype.Marcelina Kościelnicka2020-08-022-4/+4
| | | | | | | | Fixes #1826.
* | Respect \A_SIGNED for $shiftXiretza2020-08-182-6/+16
| | | | | | | | | | | | This reflects the behaviour of $shr/$shl, which sign-extend their A operands to the size of their output, then do a logical shift (shift in 0-bits).
* | intel_alm: fix typo in MISTRAL_MUL27X27 cell nameDan Ravensloft2020-08-131-1/+1
| |
* | intel_alm: add more megafunctions. NFC.Dan Ravensloft2020-08-121-0/+431
| |
* | Replace opt_rmdff with opt_dff.Marcelina Kościelnicka2020-08-077-29/+26
|/
* opt_expr: Remove -clkinv option, make it the default.Marcelina Kościelnicka2020-07-312-2/+2
| | | | | Adds -noclkinv option just in case the old behavior was actually useful to someone.
* synth_ice40: Use opt_dff.Marcelina Kościelnicka2020-07-304-142/+6
| | | | | | | | | The main part is converting ice40_dsp to recognize the new FF types created in opt_dff instead of trying to recognize the mux patterns on its own. The fsm call has been moved upwards because the passes cannot deal with $dffe/$sdff*, and other optimizations don't help it much anyway.
* synth_xilinx: Use opt_dff.Marcelina Kościelnicka2020-07-301-17/+12
| | | | | | | | | The main part is converting xilinx_dsp to recognize the new FF types created in opt_dff instead of trying to recognize the patterns on its own. The fsm call has been moved upwards because the passes cannot deal with $dffe/$sdff*, and other optimizations don't help it much anyway.
* intel_alm: direct M10K instantiationDan Ravensloft2020-07-277-39/+127
| | | | This reverts commit a3a90f6377f251d3b6c5898eb1543f8832493bb8.
* intel_alm: increase abc9 -WDan Ravensloft2020-07-261-1/+1
|
* Merge pull request #2294 from Ravenslofty/intel_alm_timingsclairexen2020-07-234-72/+91
|\ | | | | intel_alm: add additional ABC9 timings
| * intel_alm: add additional ABC9 timingsDan Ravensloft2020-07-234-72/+91
| |
* | Remove EXPLICIT_CARRY logic.Keith Rothman2020-07-233-150/+2
|/ | | | | | | The symbiflow-arch-defs tool chain no longer needs the EXPLICIT_CARRY within yosys itself. Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* sf2: Emit CLKINT even if -clkbuf not passedMarcelina Kościelnicka2020-07-171-2/+6
| | | | This restores pre #2229 behavior.
* Merge pull request #2274 from YosysHQ/mwk/anlogic-ff-fixMiodrag Milanović2020-07-171-12/+12
|\ | | | | anlogic: Fix FF mapping.
| * anlogic: Fix FF mapping.Marcelina Kościelnicka2020-07-171-12/+12
| |
* | Merge pull request #2229 from Ravenslofty/sf2_remove_sf2_iobsclairexen2020-07-164-214/+135
|\ \ | |/ |/| sf2: replace sf2_iobs with {clkbuf,iopad}map
| * sf2: replace sf2_iobs with {clkbuf,iopad}mapDan Ravensloft2020-07-094-214/+135
| |
* | Merge pull request #2238 from YosysHQ/mwk/dfflegalize-anlogicMiodrag Milanović2020-07-163-50/+35
|\ \ | | | | | | anlogic: Use dfflegalize.
| * | anlogic: Use dfflegalize.Marcelina Kościelnicka2020-07-143-50/+35
| |/
* | Merge pull request #2226 from YosysHQ/mwk/nuke-efinix-gbufMiodrag Milanović2020-07-165-122/+11
|\ \ | | | | | | efinix: Nuke efinix_gbuf in favor of clkbufmap.
| * | efinix: Nuke efinix_gbuf in favor of clkbufmap.Marcelina Kościelnicka2020-07-045-122/+11
| | |
* | | achronix: Use dfflegalize.Marcelina Kościelnicka2020-07-141-1/+1
| | |
* | | intel: Use dfflegalize.Marcelina Kościelnicka2020-07-138-178/+17
| | |
* | | Revert "intel_alm: direct M10K instantiation"Lofty2020-07-137-122/+38
| | | | | | | | | | | | This reverts commit 09ecb9b2cf3ab76841d30712bf70dafc6d47ef67.
* | | xilinx: Fix srl regression.Marcelina Kościelnicka2020-07-121-2/+2
| | | | | | | | | | | | | | | | | | | | | Of standard yosys cells, xilinx_srl only works on $_DFF_?_ and $_DFFE_?P_, which get upgraded to $_SDFFE_?P?P_ by dfflegalize at the point where xilinx_srl is called for non-abc9. Fix this by running ff_map.v first, resulting in FDRE cells, which are handled correctly.
* | | sf2: Use dfflegalize.Marcelina Kościelnicka2020-07-092-44/+13
| | |
* | | xilinx: Use dfflegalize.Marcelina Kościelnicka2020-07-096-484/+131
| | |
* | | efinix: Use dfflegalize.Marcelina Kościelnicka2020-07-062-15/+53
| | |
* | | gowin: Use dfflegalize.Marcelina Kościelnicka2020-07-062-145/+41
| | |
* | | intel_alm: direct M10K instantiationDan Ravensloft2020-07-057-38/+122
| | |
* | | synth_gowin: ABC9 supportDan Ravensloft2020-07-052-34/+340
| | | | | | | | | | | | | | | This adds ABC9 support for synth_gowin; drastically improving synthesis quality.
* | | Merge pull request #2236 from YosysHQ/mwk/dfflegalize-ice40Marcelina Kościelnicka2020-07-054-208/+24
|\ \ \ | | | | | | | | ice40: Use dfflegalize.
| * | | ice40: Use dfflegalize.Marcelina Kościelnicka2020-07-054-208/+24
| | | |
* | | | ecp5: Use dfflegalize.Marcelina Kościelnicka2020-07-054-254/+96
| | | |
* | | | Merge pull request #2232 from YosysHQ/mwk/gowin-sim-initMarcelina Kościelnicka2020-07-051-8/+8
|\ \ \ \ | | | | | | | | | | gowin: Fix INIT values in sim library.
| * | | | gowin: Fix INIT values in sim library.Marcelina Kościelnicka2020-07-051-8/+8
| | |/ / | |/| |
* | | | intel_alm: DSP inferenceDan Ravensloft2020-07-056-9/+186
| |/ / |/| |
* | | gowin: replace determine_init with setundefDan Ravensloft2020-07-043-74/+1
| | |
* | | synth_intel_alm: Use dfflegalize.Marcelina Kościelnicka2020-07-042-121/+9
|/ /
* | Improve MISTRAL_FF specify rulesDan Ravensloft2020-07-041-5/+4
| | | | | | | | Co-authored-by: Eddie Hung <eddie@fpgeh.com>
* | intel_alm: compose $__MISTRAL_FF_SYNCONLY from MISTRAL_FFEddie Hung2020-07-042-47/+2
| |
* | intel_alm: add $__ prefix to MISTRAL_FF_SYNCONLYEddie Hung2020-07-044-4/+4
| |