aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #1601 from YosysHQ/eddie/synth_retimeEddie Hung2020-01-021-3/+3
|\
| * Update doc that "-retime" calls abc with "-dff -D 1"Eddie Hung2019-12-301-1/+1
| * Revert "Revert "synth_* with -retime option now calls abc with -D 1 as well""Eddie Hung2019-12-301-2/+2
* | ifdef __ICARUS__ -> ifndef YOSYSEddie Hung2020-01-011-6/+6
* | Update timings for Xilinx S7 cellsEddie Hung2019-12-301-15/+35
* | Merge remote-tracking branch 'origin/master' into iopad_defaultMiodrag Milanovic2019-12-288-10/+368
|\|
| * Merge pull request #1593 from YosysHQ/mwk/dsp48a1-pmgenMarcin Kościelnicki2019-12-253-3/+6
| |\
| | * xilinx_dsp: Initial DSP48A/DSP48A1 support.Marcin Kościelnicki2019-12-223-3/+6
| * | xilinx: Test our DSP48A/DSP48A1 simulation models.Marcin Kościelnicki2019-12-235-7/+362
| |/
* | Addressed review commentsMiodrag Milanovic2019-12-211-2/+3
* | iopad no op for compatibility with old scriptsMiodrag Milanovic2019-12-211-0/+3
* | Make iopad option default for all xilinx flowsMiodrag Milanovic2019-12-211-14/+5
|/
* Add abc9_arrival times for RAM{32,64}MEddie Hung2019-12-201-24/+10
* Add RAM{32,64}M to abc9_map.vEddie Hung2019-12-201-0/+78
* Revert "Optimise write_xaiger"Eddie Hung2019-12-201-5/+0
* Merge pull request #1558 from YosysHQ/eddie/xaiger_cleanupEddie Hung2019-12-191-0/+5
|\
| * techmap/aigmap of whiteboxes to occur before abc9 instead of in write_xaigerEddie Hung2019-12-061-0/+5
* | xilinx: Add simulation models for remaining CLB primitives.Marcin Kościelnicki2019-12-193-156/+210
* | xilinx_dffopt: Keep order of LUT inputs.Marcin Kościelnicki2019-12-191-16/+30
* | xilinx: Add xilinx_dffopt pass (#1557)Marcin Kościelnicki2019-12-186-22/+389
* | xilinx: Improve flip-flop handling.Marcin Kościelnicki2019-12-184-38/+228
* | Merge pull request #1574 from YosysHQ/eddie/xilinx_lutramEddie Hung2019-12-163-12/+301
|\ \
| * \ Merge branch 'eddie/xilinx_lutram' of github.com:YosysHQ/yosys into eddie/xil...Eddie Hung2019-12-161-2/+8
| |\ \
| | * | Populate DID/DOD even if unusedEddie Hung2019-12-161-2/+8
| * | | Rename *RAM{32,64}M rules to RAM{32X2,64X1}QEddie Hung2019-12-162-6/+6
| |/ /
| * | Disable RAM16X1D match rule; carry-over from LUT4 archesEddie Hung2019-12-131-6/+9
| * | RAM64M8 to also have [5:0] for addressEddie Hung2019-12-131-8/+8
| * | Add RAM32X6SDP and RAM64X3SDP modesEddie Hung2019-12-122-8/+120
| * | Fix RAM64M model to have 6 bit address busEddie Hung2019-12-121-4/+4
| * | Add memory rules for RAM16X1D, RAM32M, RAM64MEddie Hung2019-12-122-0/+168
* | | Add unconditional match blocks for force RAMEddie Hung2019-12-161-4/+36
* | | Update xc7/xcu bram rulesEddie Hung2019-12-161-8/+4
* | | Removing fixed attribute value to !ramstyle rulesDiego H2019-12-151-4/+4
* | | Merging attribute rules into a single match block; Adding testsDiego H2019-12-151-18/+12
* | | Refactoring memory attribute matching based on IEEE 1364.1 and Tool specificDiego H2019-12-131-0/+19
* | | Merge pull request #1533 from dh73/bram_xilinxEddie Hung2019-12-131-6/+9
|\ \ \ | |/ / |/| |
| * | Fixing citation in xc7_xcu_brams.txt file. Fixing RAMB36E1 test.Diego H2019-12-121-5/+5
| * | Updating RAMB36E1 thresholds. Adding test for both RAMB18E1/RAMB36E1Diego H2019-12-121-2/+2
| * | Merge https://github.com/YosysHQ/yosys into bram_xilinxDiego H2019-12-125-633/+868
| |\|
| * | Adjusting Vivado's BRAM min bits threshold for RAMB18E1Diego H2019-11-271-2/+5
* | | abc9_map.v: fix Xilinx LUTRAMEddie Hung2019-12-121-6/+6
| |/ |/|
* | xilinx: Add tristate buffer mapping. (#1528)Marcin Kościelnicki2019-12-042-9/+16
* | xilinx: Add models for LUTRAM cells. (#1537)Marcin Kościelnicki2019-12-043-624/+831
* | xilinx: Add missing blackbox cell for BUFPLL.Marcin Kościelnicki2019-11-292-0/+21
|/
* xilinx: Add simulation models for IOBUF and OBUFT.Marcin Kościelnicki2019-11-263-25/+30
* clkbufmap: Add support for inverters in clock path.Marcin Kościelnicki2019-11-251-1/+5
* xilinx: Use INV instead of LUT1 when applicableMarcin Kościelnicki2019-11-251-2/+6
* xilinx: Add simulation models for MULT18X18* and DSP48A*.Marcin Kościelnicki2019-11-193-132/+516
* synth_xilinx: Merge blackbox primitive libraries.Marcin Kościelnicki2019-11-0611-23234/+29820
* xilinx: Add URAM288 mapping for xcupDavid Shah2019-10-235-2/+92