aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/ice40/tests
Commit message (Expand)AuthorAgeFilesLines
* Revert "Merge pull request #1280 from YosysHQ/revert-1266-eddie/ice40_full_ad...Eddie Hung2019-08-121-1/+8
* Revert "Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER"David Shah2019-08-101-8/+1
* Allow whitebox modules to be overwrittenEddie Hung2019-08-071-2/+0
* Add testEddie Hung2019-08-071-1/+10
* ice40: Fix test_dsp_model.shDavid Shah2019-07-191-1/+1
* Add tests for all combinations of A and B signedness for comb mulEddie Hung2019-07-192-1/+229
* Don't copy ref if exists alreadyEddie Hung2019-07-191-1/+3
* Bugfix in ice40_dspClifford Wolf2019-02-212-20/+33
* Add ice40 test_dsp_map test case generatorClifford Wolf2019-02-202-0/+99
* Improve iCE40 SB_MAC16 modelClifford Wolf2019-02-204-104/+169
* Add first draft of functional SB_MAC16 modelClifford Wolf2019-02-193-0/+292
* Initialization support for all iCE40 bram modesClifford Wolf2015-04-263-11/+4
* initialized iCE40 brams (mode 0)Clifford Wolf2015-04-253-5/+22
* More iCE40 bram improvementsClifford Wolf2015-04-252-4/+4
* iCE40 bram progressClifford Wolf2015-04-241-5/+7
* iCE40 bram tests and fixesClifford Wolf2015-04-244-0/+142
* added sync reset to ice40 test_ffs.shClifford Wolf2015-04-183-6/+20
* Added ice40 test_arithClifford Wolf2015-04-182-0/+13
* Added simple ice40 dff testsClifford Wolf2015-04-163-0/+49