aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/ice40/synth_ice40.cc
Commit message (Expand)AuthorAgeFilesLines
* synth_ice40 to decompose into 16x16Eddie Hung2019-07-181-1/+3
* synth_ice40: switch -relut to be always on.whitequark2019-07-111-10/+4
* synth_ice40: fix help text typo. NFC.whitequark2019-07-111-1/+1
* Error out if -abc9 and -retime specifiedEddie Hung2019-07-101-1/+4
* Update synth_ice40 -device doc to be relevant for -abc9 onlyEddie Hung2019-06-281-2/+2
* Extraneous newlineEddie Hung2019-06-271-1/+0
* abc9: Add wire delays to synth_ice40David Shah2019-06-261-2/+10
* Resolve comments from @daveshah1Eddie Hung2019-06-141-1/+1
* Make doc consistentEddie Hung2019-06-141-1/+1
* Consistent with xilinxEddie Hung2019-06-031-2/+2
* Merge remote-tracking branch 'origin/clifford/pmgenstuff' into xc7muxEddie Hung2019-05-021-0/+2
|\
| * Run "peepopt" in generic "synth" pass and "synth_ice40"Clifford Wolf2019-04-301-0/+2
* | Merge remote-tracking branch 'origin/master' into xc7muxEddie Hung2019-05-021-4/+6
|\ \
| * | Cleanup ice40Eddie Hung2019-04-261-4/+6
| |/
* | Convert to use #945Eddie Hung2019-04-211-1/+1
* | ABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL setEddie Hung2019-04-191-1/+1
* | Fix SB_DFF comb modelEddie Hung2019-04-181-2/+2
* | Use new -wb flag for ABC flowEddie Hung2019-04-181-7/+3
* | Reduce to three devices: hx, lp, uEddie Hung2019-04-171-4/+4
* | Update error messageEddie Hung2019-04-171-1/+1
* | Add "-device" argument to synth_ice40Eddie Hung2019-04-171-2/+13
* | synth_ice40 to use renamed filesEddie Hung2019-04-171-2/+2
* | Revert "Try using an ICE40_CARRY_LUT primitive to avoid ABC issues"Eddie Hung2019-04-171-1/+1
* | Try using an ICE40_CARRY_LUT primitive to avoid ABC issuesEddie Hung2019-04-171-1/+1
* | Add ice40 box filesEddie Hung2019-04-161-1/+8
* | Merge branch 'master' into xaigEddie Hung2019-04-081-0/+1
|\|
| * ice40: Run ice40_braminit pass by defaultSylvain Munaut2019-03-081-0/+1
* | Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-02-261-1/+1
|\|
| * Merge pull request #740 from daveshah1/improve_dressClifford Wolf2019-02-221-1/+1
| |\
| | * ice40: Use abc -dress in synth_ice40David Shah2019-02-061-1/+1
* | | Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-02-211-3/+28
|\| |
| * | Add "synth_ice40 -dsp"Clifford Wolf2019-02-201-3/+27
| |/
* / synth_ice40 to have new -abc9 argEddie Hung2019-02-141-4/+12
|/
* Merge pull request #772 from whitequark/synth_lutClifford Wolf2019-01-021-1/+1
|\
| * synth_ice40: use 4-LUT coarse synthesis mode.whitequark2019-01-021-1/+1
* | Fix typographical and grammatical errors and inconsistencies.whitequark2019-01-021-1/+1
|/
* Rename "fine:" label to "map:" in "synth_ice40"Clifford Wolf2018-12-161-1/+1
* synth_ice40: split `map_gates` off `fine`.whitequark2018-12-061-0/+4
* synth_ice40: add -noabc option, to use built-in LUT techmapping.whitequark2018-12-051-2/+16
* opt_lut: add -dlogic, to avoid disturbing logic such as carry chains.whitequark2018-12-051-2/+2
* synth_ice40: add -relut option, to run ice40_unlut and opt_lut.whitequark2018-12-051-1/+13
* ice40: Add option to only use CE if it'd be use by more than X FFsSylvain Munaut2018-11-271-0/+14
* Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-4/+4
* Add "synth_ice40 -json"Clifford Wolf2018-06-131-9/+22
* Improving vpr output support.Tim 'mithro' Ansell2018-04-181-3/+3
* synth_ice40: Rework the vpr blif output slightly.Tim 'mithro' Ansell2018-04-181-4/+8
* Add "synth_ice40 -nodffe"Clifford Wolf2018-04-161-2/+11
* Fix spelling in -vpr help for synth_ice40Tim Ansell2017-12-081-1/+1
* Add "synth_ice40 -vpr"Clifford Wolf2017-11-161-5/+27
* Fix synth_ice40 doc regarding -top defaultClifford Wolf2017-09-291-1/+1