aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/ice40/Makefile.inc
Commit message (Expand)AuthorAgeFilesLines
* ice40: Use `memory_libmap` pass.Marcelina Kościelnicka2022-05-181-21/+2
* synth_ice40: Use opt_dff.Marcelina Kościelnicka2020-07-301-1/+0
* ice40: Use dfflegalize.Marcelina Kościelnicka2020-07-051-1/+0
* ice40: split out cells_map.v into ff_map.vEddie Hung2020-05-141-0/+1
* ice40: move over to specify blocks for -abc9Eddie Hung2020-02-271-6/+0
* Makefile: don't assume python is called `python3`Sean Cross2019-10-191-1/+1
* Rename abc_* names/attributes to more precisely be abc9_*Eddie Hung2019-10-041-7/+7
* Panic over. Model was elsewhere. Re-arrange for consistencyEddie Hung2019-10-041-0/+1
* Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-08-201-1/+0
|\
| * Revert "Merge pull request #1280 from YosysHQ/revert-1266-eddie/ice40_full_ad...Eddie Hung2019-08-121-1/+0
| * Revert "Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER"David Shah2019-08-101-0/+1
| * Remove ice40_unlutEddie Hung2019-08-071-1/+0
* | Rework ice40_dsp to map to SB_MAC16 earlier, and check before packingEddie Hung2019-08-081-0/+1
|/
* Also update Makefile.incEddie Hung2019-04-181-7/+6
* Update Makefile.inc tooEddie Hung2019-04-171-4/+6
* Add "-device" argument to synth_ice40Eddie Hung2019-04-171-2/+4
* Also update Makefile.incEddie Hung2019-04-171-3/+3
* Add ice40 box filesEddie Hung2019-04-161-0/+3
* ice40: Add ice40_braminit pass to allow initialization of BRAM from fileSylvain Munaut2019-03-081-0/+1
* Extract ice40_unlut pass from ice40_opt.whitequark2018-12-051-0/+1
* Added synth_ice40 support for latches via logic loopsClifford Wolf2016-05-061-0/+1
* Added ice40_ffinit passClifford Wolf2015-11-261-0/+1
* Switched to Python 3Clifford Wolf2015-08-221-1/+1
* Another bugfix for ice40 and xilinx brams_init make rulesClifford Wolf2015-08-161-1/+1
* Fixed Makefile rules for generated share filesClifford Wolf2015-08-161-1/+6
* Adjust makefiles to work with out-of-tree buildsClifford Wolf2015-08-121-4/+1
* Verific build fixesClifford Wolf2015-05-171-2/+2
* Added iCE40 const folding support for SB_CARRYClifford Wolf2015-04-271-0/+1
* Initialization support for all iCE40 bram modesClifford Wolf2015-04-261-0/+18
* Added ice40 bram supportClifford Wolf2015-04-241-0/+2
* Added ice40 SB_CARRY supportClifford Wolf2015-04-181-0/+1
* Added mapping of synchronous set/reset to iCE40 flowClifford Wolf2015-04-171-0/+1
* Added very first version of "synth_ice40"Clifford Wolf2015-03-051-0/+6