aboutsummaryrefslogtreecommitdiffstats
path: root/passes
Commit message (Collapse)AuthorAgeFilesLines
* Added missing "break"Andrew Zonenberg2017-09-151-0/+1
|
* Implemented off-chain support for extract_reduceAndrew Zonenberg2017-09-151-84/+157
|
* extract_reduce now only removes the head of the chain, relying on "clean" to ↵Andrew Zonenberg2017-09-151-9/+19
| | | | delete upstream cells. Added "-allow-off-chain" flag, but it's currently ignored.
* Merge pull request #412 from azonenberg/reduce-fixesClifford Wolf2017-09-141-2/+2
|\ | | | | extract_reduce: Fix segfault on "undriven" inputs
| * extract_reduce: Fix segfault on "undriven" inputsRobert Ou2017-09-141-2/+2
| | | | | | | | | | | | | | | | This is easily triggered when un-techmapping if the technology-specific cell library isn't loaded. Outputs of technology-specific cells will be seen as inputs, and nets using those outputs will be seen as undriven. Just ignore these cells because they can't be part of a reduce chain anyways.
* | Merge pull request #411 from azonenberg/counter-extraction-fixesClifford Wolf2017-09-141-47/+116
|\ \ | | | | | | Various improvements and bug fixes to extract_counter
| * | Fixed bug where counter extraction on non-GreenPAK devices incorrectly ↵Andrew Zonenberg2017-09-141-32/+27
| | | | | | | | | | | | handled parallel counter output
| * | Added support for inferring counters with reset to full scale instead of zeroAndrew Zonenberg2017-09-141-4/+11
| | |
| * | Added RESET_TO_MAX parameter to $__COUNT_ cell. Cannot yet be extracted.Andrew Zonenberg2017-09-141-0/+1
| | |
| * | Added support for inferring counters with active-low resetAndrew Zonenberg2017-09-141-6/+15
| | |
| * | Initial support for extraction of counters with clock enableAndrew Zonenberg2017-09-141-9/+66
| | |
| * | Fixed typo in comment. Fixed bug where extract_counter would create up ↵Andrew Zonenberg2017-09-141-2/+2
| |/ | | | | | | counters when it meant to create down counters.
* | Minor changes to opt_demorgan requested during code reviewAndrew Zonenberg2017-09-142-18/+18
| |
* | Initial version of opt_demorgan is functioning for AND/OR gates. Not the ↵Andrew Zonenberg2017-09-122-0/+203
|/ | | | prettiest results for bus inputs, but this can be improved
* Add src attribute to extra cells generated by proc_dlatchClifford Wolf2017-09-091-7/+9
|
* Further improve extract_fa (but still buggy)Clifford Wolf2017-09-021-28/+91
|
* Merge branch 'master' of github.com:cliffordwolf/yosysClifford Wolf2017-09-012-0/+583
|\
| * extract_counter: Added optimizations to remove unused high-order bitsAndrew Zonenberg2017-08-301-16/+34
| |
| * extract_counter: Minor changes requested to comply with upstream policy, ↵Andrew Zonenberg2017-08-301-3/+4
| | | | | | | | fixed a few typos
| * Finished refactoring counter extraction to be nice and generic. Implemented ↵Andrew Zonenberg2017-08-281-11/+25
| | | | | | | | techmapping from $__COUNT_ to GP_COUNTx cells.
| * Refactored extract_counter to be generic vs GreenPAK specificAndrew Zonenberg2017-08-281-51/+87
| |
| * Refactoring: Renamed greenpak4_counters pass to extract_counter, moved it to ↵Andrew Zonenberg2017-08-282-0/+514
| | | | | | | | techmap/ since it's going to become a generic pass
* | Update more stuff to use get_src_attribute() and set_src_attribute()Clifford Wolf2017-09-011-4/+4
| |
* | updated to use get_src_attribute() and set_src_attribute().Jason Lowdermilk2017-08-313-10/+7
| |
* | Add support for source line tracking through synthesis phaseJason Lowdermilk2017-08-293-4/+23
|/
* Rename recover_reduce to extract_reduce, fix args handlingClifford Wolf2017-08-282-8/+25
|
* Merge branch 'recover-reduce' of https://github.com/azonenberg/yosys into ↵Clifford Wolf2017-08-282-0/+223
|\ | | | | | | azonenberg-recover-reduce
| * recover_reduce: Update documentationRobert Ou2017-08-271-8/+11
| | | | | | | | | | The documentation now describes the commands performed in the deleted recover_reduce script.
| * recover_reduce: Reindent using tabsRobert Ou2017-08-271-190/+190
| |
| * recover_reduce: Rename recover_reduce_core to recover_reduceRobert Ou2017-08-273-101/+0
| | | | | | | | | | | | | | | | Clifford has commented on PR #387 stating that he does not like the driver script and would prefer to only have the core script with appropriate notes in the documentation. Also rename to .cc (rather than .cpp) for consistency.
| * recover_reduce: Add driver script for the $reduce_* recover featureRobert Ou2017-08-272-0/+101
| | | | | | | | | | Conflicts: passes/techmap/Makefile.inc
| * recover_reduce_core: Finish implementing the core functionRobert Ou2017-08-271-0/+110
| |
| * recover_reduce_core: Initial commitRobert Ou2017-08-272-0/+110
| | | | | | | | | | Conflicts: passes/techmap/Makefile.inc
* | Further improve extract_fa passClifford Wolf2017-08-281-1/+42
|/
* Don't track , ... contradictions through x/z-bitsClifford Wolf2017-08-251-1/+4
|
* Add removing of redundant pairs of bits in ==, ===, !=, and !== to opt_exprClifford Wolf2017-08-251-0/+72
|
* Further improve extract_fa (seems to be fully functional now)Clifford Wolf2017-08-251-10/+226
|
* Rename "adders" to "extract_fa"Clifford Wolf2017-08-252-28/+16
|
* Towards more generic "adder" function extractorClifford Wolf2017-08-231-202/+53
|
* Add experimental adders passClifford Wolf2017-08-222-0/+447
|
* Remove some dead code from fsm_mapClifford Wolf2017-08-211-3/+0
|
* Rename "singleton" pass to "uniquify"Clifford Wolf2017-08-203-21/+22
|
* More intuitive handling of "cd .." for singleton modulesClifford Wolf2017-08-191-2/+38
|
* Add "sim -zinit -rstlen"Clifford Wolf2017-08-181-1/+53
|
* Add "sim" support for memoriesClifford Wolf2017-08-181-2/+136
|
* Add support for assert/assume/cover to "sim" commandClifford Wolf2017-08-181-4/+47
|
* Add writeback mode to "sim" commandClifford Wolf2017-08-171-0/+44
|
* Improve "sim" commandClifford Wolf2017-08-171-54/+272
|
* Add "sim" command skeletonClifford Wolf2017-08-162-0/+372
|
* Mostly coding style related fixes in rmports passClifford Wolf2017-08-151-30/+33
|