aboutsummaryrefslogtreecommitdiffstats
path: root/passes
Commit message (Expand)AuthorAgeFilesLines
* Bugfix in splitcells passClaire Xenia Wolf2022-12-061-5/+13
* Improvements in "viz" commandClaire Xenia Wolf2022-12-041-45/+196
* Add "viz" pass for visualizing big-picture data flow in larger designsClaire Xenia Wolf2022-12-042-0/+511
* Add splitcells passClaire Xenia Wolf2022-12-042-0/+192
* Merge branch 'xprop' of github.com:jix/yosys into claire/eqystuffClaire Xenia Wolf2022-12-0110-35/+1508
|\
| * miter: Add -make_cover option to cover each output pair differenceJannis Harder2022-11-301-0/+14
| * formalff: Fix -ff2anyinit assertion error for fine FFsJannis Harder2022-11-301-0/+2
| * New xprop pass to encode 3-valued x-propagation using 2-valued logicJannis Harder2022-11-302-0/+1199
| * sim: Improved global clock handlingJannis Harder2022-11-301-13/+14
| * opt_expr: Optimizations for `$bweqx` and `$bwmux`Jannis Harder2022-11-301-0/+63
| * Add bwmuxmap passJannis Harder2022-11-302-0/+71
| * Add bitwise `$bweqx` and `$bwmux` cellsJannis Harder2022-11-302-6/+29
| * opt_expr: Fix shift/shiftx optimizationsJannis Harder2022-11-301-3/+3
| * opt_expr: Constant fold mux, pmux, bmux, demux, eqx, nex cellsJannis Harder2022-11-291-0/+33
| * opt_expr: Optimize bitwise logic ops with one fully const inputJannis Harder2022-11-291-0/+81
| * simplemap: Map `$xnor` to `$_XNOR_` cellsJannis Harder2022-11-291-15/+1
* | Add insbuf -chain modeClaire Xenia Wolf2022-12-011-2/+38
|/
* sat: Add -set-def-formal option to force defined $any* outputsJannis Harder2022-11-281-6/+22
* Support importing verilog configurations using VerificMiodrag Milanovic2022-11-251-1/+1
* mention prerequisites in fsm_detect and fsm helpN. Engelhardt2022-11-212-0/+18
* Rst docs conversion (#3496)KrystalDelusion2022-11-152-1/+3
* sim: Run a comb-only update step to set past values during FST cosimJannis Harder2022-11-071-12/+11
* Add extra time at the end of a sat VCD traceClaire Xenia Wolf2022-11-011-0/+1
* Add miter -cross optionClaire Xenia Wolf2022-10-241-4/+32
* Consistent $mux undef handlingJannis Harder2022-10-241-1/+1
* Add "check -assert" to equiv_optClaire Xenia Wolf2022-10-071-1/+13
* Exclude primary inputs from quiv_make rewiringClaire Xenia Wolf2022-10-071-0/+7
* Revert "Merge pull request #641 from tklam/master"Claire Xenia Wolf2022-10-071-81/+5
* clk2fflogic: Always correctly handle simultaneously changing signalsJannis Harder2022-10-071-103/+87
* mutate: warn if less mutations possible than number requestedN. Engelhardt2022-10-051-0/+2
* Merge pull request #3486 from daglem/fix-flowmap-crashMiodrag Milanović2022-09-231-1/+2
|\
| * Fix crash in flowmapDag Lem2022-09-201-1/+2
* | remove extra space in formatingKamyar Mohajerani2022-09-221-2/+2
* | stat: add tech tech-specific utilizations to jsonKamyar Mohajerani2022-09-221-65/+91
|/
* Fix tmpdir naming when passing -nocleanup option to abc(9) on systems where b...N. Engelhardt2022-09-132-6/+12
* Merge pull request #3458 from QuantamHD/abc_fasterN. Engelhardt2022-08-311-6/+6
|\
| * Improves ABC command runtime by 10-100xEthan Mahintorabi2022-08-241-6/+6
* | Makes sure to set initial_top when change, fixes #3462Miodrag Milanovic2022-08-261-0/+1
* | Merge pull request #3449 from YosysHQ/aki/show_pathrwN. Engelhardt2022-08-251-0/+1
|\ \
| * | yosys: passes: cmds: show: added filename re-writing to `show -lib`Aki Van Ness2022-08-221-0/+1
| |/
* / Fitting help messages to 80 character widthKrystalDelusion2022-08-2424-149/+157
|/
* sim: -hdlname option to preserve flattened hierarchy in sim outputJannis Harder2022-08-161-9/+41
* clk2fflogic: Generate less unused logic when using verificJannis Harder2022-08-161-1/+4
* rename: Add -witness modeJannis Harder2022-08-161-0/+81
* memory_map: Add -formal optionJannis Harder2022-08-161-17/+67
* setundef: Do not add anyseq / anyconst to unused memory port clocksJannis Harder2022-08-161-0/+24
* wreduce: Keep more x-bits with -keepdcJannis Harder2022-08-161-4/+4
* formalff: New -setundef optionJannis Harder2022-08-161-0/+335
* formalff: Set new replaced_by_gclk attribute on removed dff's clksJannis Harder2022-08-161-0/+22
* Add the $anyinit cell and the formalff passJannis Harder2022-08-167-1/+199