aboutsummaryrefslogtreecommitdiffstats
path: root/passes/opt/wreduce.cc
Commit message (Collapse)AuthorAgeFilesLines
* Cleanups in ARST handling in wreduceClifford Wolf2019-02-241-10/+4
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix WREDUCE on FF not fixing ARST_VALUE parameter.Keith Rothman2019-02-221-0/+13
| | | | | | Adds test case that fails without code change. Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Add FF support to wreduceClifford Wolf2019-02-201-1/+70
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix handling of (* keep *) wires in wreduceClifford Wolf2018-12-311-1/+4
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-2/+2
| | | | | | | | | o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established)
* Added "wreduce -memx"Clifford Wolf2016-08-201-3/+14
|
* Optimize memory address port width in wreduce and memory_collect, not ↵Clifford Wolf2016-08-191-0/+18
| | | | verilog front-end
* Added "yosys -D" featureClifford Wolf2016-04-211-1/+1
|
* Improvements in wreduceClifford Wolf2015-10-311-0/+25
|
* Import more std:: stuff into Yosys namespaceClifford Wolf2015-10-251-3/+3
|
* Fixed handling of driver-driver conflicts in wreduceClifford Wolf2015-10-241-8/+16
|
* Bugfixes in handling of "keep" attribute on wiresClifford Wolf2015-10-151-1/+7
|
* Added wreduce $mul support and fixed signed $mul opt_const bugClifford Wolf2015-09-251-1/+1
|
* gcc-4.6 build fixesClifford Wolf2015-09-011-2/+2
|
* Fixed trailing whitespacesClifford Wolf2015-07-021-2/+2
|
* Added handling of bool-output cells to "wreduce"Clifford Wolf2015-04-131-0/+11
|
* wreduce help typo fixClifford Wolf2015-02-171-1/+1
|
* Bugfix in wreduceClifford Wolf2015-02-161-7/+14
|
* Replaced std::unordered_set (nodict) with Yosys::poolClifford Wolf2014-12-261-2/+2
|
* Added Yosys::{dict,nodict,vector} container typesClifford Wolf2014-12-261-2/+2
|
* Wrapped init in std::set constructorWilliam Speirs2014-10-151-2/+2
|
* Renamed SIZE() to GetSize() because of name collision on Win32Clifford Wolf2014-10-101-42/+42
|
* Fixed wreduce $shiftx handlingClifford Wolf2014-09-151-1/+1
|
* Cleanup in wreduceClifford Wolf2014-09-141-11/+8
|
* Removed $bu0 cell typeClifford Wolf2014-09-041-3/+3
|
* Moved "share" and "wreduce" to passes/opt/Clifford Wolf2014-09-011-0/+353