aboutsummaryrefslogtreecommitdiffstats
path: root/passes/opt/wreduce.cc
Commit message (Collapse)AuthorAgeFilesLines
* Remove `using namespace RTLIL;`Eddie Hung2019-08-161-6/+5
|
* Use ID::keep more liberally tooEddie Hung2019-08-151-1/+1
|
* Use more ID::{A,B,Y,blackbox,whitebox}Eddie Hung2019-08-151-23/+23
|
* Use ID() macro in all of passes/opt/Clifford Wolf2019-08-111-73/+73
| | | | | | | | | | This was obtained by running the following SED command in passes/opt/ and then using "meld foo.cc foo.cc.orig" to manually fix all resulting compiler errors. sed -i.orig -r 's/"\\\\([a-zA-Z0-9_]+)"/ID(\1)/g; s/"(\$[a-zA-Z0-9_]+)"/ID(\1)/g;' *.cc Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Move LSB-trimming functionality from wreduce to opt_exprEddie Hung2019-08-061-23/+1
|
* Try and fix againEddie Hung2019-07-191-5/+4
|
* Do not access beyond boundsEddie Hung2019-07-191-1/+1
|
* Wrap A and B in sigmapEddie Hung2019-07-191-2/+2
|
* Remove "top" from messageEddie Hung2019-07-191-1/+1
|
* Also optimise MSB of $subEddie Hung2019-07-191-3/+3
|
* wreduce for $subEddie Hung2019-07-191-0/+23
|
* Revert "Add "synth -keepdc" option"Eddie Hung2019-07-091-1/+1
|
* Clarify 'wreduce -keepdc' docEddie Hung2019-07-081-1/+1
|
* Add "wreduce -keepdc", fixes #1016Clifford Wolf2019-05-201-1/+9
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #969 from YosysHQ/clifford/pmgenstuffClifford Wolf2019-05-031-0/+36
|\ | | | | Improve pmgen, Add "peepopt" pass with shift-mul pattern
| * Add peepopt_muldiv, fixes #930Clifford Wolf2019-04-301-0/+36
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Fix segfault in wreduceClifford Wolf2019-04-301-0/+2
|/ | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Remove some left-over log_dump()Clifford Wolf2019-04-231-2/+0
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Trim init attributes when resizing FFs in "wreduce", fixes #887Clifford Wolf2019-03-221-1/+26
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix multiple issues in wreduce FF handling, fixes #835Clifford Wolf2019-02-281-5/+24
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Cleanups in ARST handling in wreduceClifford Wolf2019-02-241-10/+4
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix WREDUCE on FF not fixing ARST_VALUE parameter.Keith Rothman2019-02-221-0/+13
| | | | | | Adds test case that fails without code change. Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Add FF support to wreduceClifford Wolf2019-02-201-1/+70
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix handling of (* keep *) wires in wreduceClifford Wolf2018-12-311-1/+4
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-2/+2
| | | | | | | | | o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established)
* Added "wreduce -memx"Clifford Wolf2016-08-201-3/+14
|
* Optimize memory address port width in wreduce and memory_collect, not ↵Clifford Wolf2016-08-191-0/+18
| | | | verilog front-end
* Added "yosys -D" featureClifford Wolf2016-04-211-1/+1
|
* Improvements in wreduceClifford Wolf2015-10-311-0/+25
|
* Import more std:: stuff into Yosys namespaceClifford Wolf2015-10-251-3/+3
|
* Fixed handling of driver-driver conflicts in wreduceClifford Wolf2015-10-241-8/+16
|
* Bugfixes in handling of "keep" attribute on wiresClifford Wolf2015-10-151-1/+7
|
* Added wreduce $mul support and fixed signed $mul opt_const bugClifford Wolf2015-09-251-1/+1
|
* gcc-4.6 build fixesClifford Wolf2015-09-011-2/+2
|
* Fixed trailing whitespacesClifford Wolf2015-07-021-2/+2
|
* Added handling of bool-output cells to "wreduce"Clifford Wolf2015-04-131-0/+11
|
* wreduce help typo fixClifford Wolf2015-02-171-1/+1
|
* Bugfix in wreduceClifford Wolf2015-02-161-7/+14
|
* Replaced std::unordered_set (nodict) with Yosys::poolClifford Wolf2014-12-261-2/+2
|
* Added Yosys::{dict,nodict,vector} container typesClifford Wolf2014-12-261-2/+2
|
* Wrapped init in std::set constructorWilliam Speirs2014-10-151-2/+2
|
* Renamed SIZE() to GetSize() because of name collision on Win32Clifford Wolf2014-10-101-42/+42
|
* Fixed wreduce $shiftx handlingClifford Wolf2014-09-151-1/+1
|
* Cleanup in wreduceClifford Wolf2014-09-141-11/+8
|
* Removed $bu0 cell typeClifford Wolf2014-09-041-3/+3
|
* Moved "share" and "wreduce" to passes/opt/Clifford Wolf2014-09-011-0/+353