aboutsummaryrefslogtreecommitdiffstats
path: root/passes/opt/opt_rmdff.cc
Commit message (Collapse)AuthorAgeFilesLines
* kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-021-89/+89
|
* Use more ID::{A,B,Y,blackbox,whitebox}Eddie Hung2019-08-151-4/+4
|
* Use ID() macro in all of passes/opt/Clifford Wolf2019-08-111-124/+124
| | | | | | | | | | This was obtained by running the following SED command in passes/opt/ and then using "meld foo.cc foo.cc.orig" to manually fix all resulting compiler errors. sed -i.orig -r 's/"\\\\([a-zA-Z0-9_]+)"/ID(\1)/g; s/"(\$[a-zA-Z0-9_]+)"/ID(\1)/g;' *.cc Signed-off-by: Clifford Wolf <clifford@clifford.at>
* substr() -> compare()Eddie Hung2019-08-071-2/+2
|
* Use IdString::begins_with()Eddie Hung2019-08-061-8/+8
|
* Make liberal use of IdString.in()Eddie Hung2019-08-061-3/+3
|
* Add help for "-sat" option inside opt_rmdff. "opt" can pass "-sat" tooBogdan Vukobratovic2019-06-271-0/+4
|
* Fix memory leak when one of multiple DFF cells is removed in opt_rmdffBogdan Vukobratovic2019-06-271-0/+5
| | | | | | When there are multiple DFFs and one of them is removed, its reference lingers inside bit2driver dict. While invoking handle_dff() function for other DFFs, this broken reference is used isnside sat_import_cell() function.
* Refactor "opt_rmdff -sat"Clifford Wolf2019-06-201-42/+46
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Move netlist helper module to passes/opt for the time beingBogdan Vukobratovic2019-06-141-1/+1
|
* Merge remote-tracking branch 'upstream/master'Bogdan Vukobratovic2019-06-141-2/+2
|\
| * Fix typo in opt_rmdffEddie Hung2019-06-051-2/+2
| |
* | Prepare for situation when port of the signal cannot be foundBogdan Vukobratovic2019-06-141-1/+1
| |
* | Some cleanup, revert sat.ccBogdan Vukobratovic2019-06-141-7/+10
| |
* | Implement disconnection of constant register bitsBogdan Vukobratovic2019-06-131-12/+24
| |
* | Rename satgen_algo.h -> algo.h, code cleanup and refactoringBogdan Vukobratovic2019-06-121-73/+22
| |
* | Generate satgen instance instead of calling sat passBogdan Vukobratovic2019-06-111-23/+85
| |
* | Optimizing DFFs whose initial value prevents their value from changingBogdan Vukobratovic2019-05-281-3/+55
|/ | | | | This is a proof of concept implementation that invokes SAT solver via Pass::call method.
* Revert enable checkEddie Hung2019-05-251-3/+1
|
* opt_rmdff to optimise even in presence of enable signal, even removingEddie Hung2019-05-241-12/+29
|
* Add commentsEddie Hung2019-05-241-1/+22
|
* Resolve @cliffordwolf review, set even if !has_initEddie Hung2019-05-241-2/+1
|
* Fix spacingEddie Hung2019-05-231-2/+2
|
* opt_rmdff to work on $dffe and $_DFFE_*Eddie Hung2019-05-231-3/+32
|
* Fix opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_, fixes #816Clifford Wolf2019-02-211-6/+7
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-2/+2
| | | | | | | | | o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established)
* Fix opt_rmdff handling of $dlatchsrClifford Wolf2018-02-261-0/+3
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix memory corruption bug in opt_rmdffClifford Wolf2017-10-261-0/+3
|
* Add support for set-reset cell variants to opt_rmdffClifford Wolf2017-08-091-0/+182
|
* Add handling of constant reset signals to opt_rmdffClifford Wolf2017-08-061-1/+23
|
* Fix handling of init attributes with strange widthClifford Wolf2017-02-091-1/+3
|
* Improve opt_rmdff support for $dlatch cellsClifford Wolf2017-01-311-4/+22
|
* Added opt_rmdff support for $ff cellsClifford Wolf2016-10-141-5/+13
|
* Added "opt_rmdff -keepdc"Clifford Wolf2016-09-301-3/+14
|
* Improved init spec handling in opt_rmdff, modernized the code a bitClifford Wolf2016-08-301-39/+82
|
* Added "yosys -D" featureClifford Wolf2016-04-211-1/+1
|
* Properly clean up unused "init" attributesClifford Wolf2015-08-181-2/+18
|
* Some cleanups in opt_rmdffClifford Wolf2015-07-251-16/+9
|
* Improved $adff simplificationClifford Wolf2015-07-241-1/+1
|
* Fixed trailing whitespacesClifford Wolf2015-07-021-3/+3
|
* Added simple $dlatch support to opt_rmdffClifford Wolf2015-05-231-0/+35
|
* Improved handling of init values in opt_rmdffClifford Wolf2015-04-181-11/+9
| | | | based on a patch by Mingyu Gao, user gaomy3832 on github
* Don't be too smart with $dff cells with "init" attribute on out signalClifford Wolf2014-10-161-1/+1
|
* namespace YosysClifford Wolf2014-09-271-3/+7
|
* Added design->scratchpadClifford Wolf2014-08-301-2/+3
|
* More cleanups related to RTLIL::IdString usageClifford Wolf2014-08-021-1/+1
|
* Renamed port access function on RTLIL::Cell, added param access functionsClifford Wolf2014-07-311-18/+18
|
* Refactoring: Renamed RTLIL::Design::modules to modules_Clifford Wolf2014-07-271-1/+1
|
* Refactoring: Renamed RTLIL::Module::cells to cells_Clifford Wolf2014-07-271-3/+3
|
* Refactoring: Renamed RTLIL::Module::wires to wires_Clifford Wolf2014-07-271-1/+1
|