aboutsummaryrefslogtreecommitdiffstats
path: root/passes/opt/opt_clean.cc
Commit message (Collapse)AuthorAgeFilesLines
* Use ID::keep more liberally tooEddie Hung2019-08-151-4/+4
|
* Use more ID::{A,B,Y,blackbox,whitebox}Eddie Hung2019-08-151-3/+3
|
* Use ID() macro in all of passes/opt/Clifford Wolf2019-08-111-27/+27
| | | | | | | | | | This was obtained by running the following SED command in passes/opt/ and then using "meld foo.cc foo.cc.orig" to manually fix all resulting compiler errors. sed -i.orig -r 's/"\\\\([a-zA-Z0-9_]+)"/ID(\1)/g; s/"(\$[a-zA-Z0-9_]+)"/ID(\1)/g;' *.cc Signed-off-by: Clifford Wolf <clifford@clifford.at>
* substr() -> compare()Eddie Hung2019-08-071-3/+3
|
* Improve opt_clean handling of unused public wiresClifford Wolf2019-06-261-2/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Do not clean up buffer cells with "keep" attribute, closes #1128Clifford Wolf2019-06-261-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Suppress driver-driver conflict warning for unknown cell types, fixes #1065Clifford Wolf2019-06-051-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Keep zero-width wires in opt_clean if and only if they are ports, fixes #1023Clifford Wolf2019-05-221-2/+3
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Improvements in opt_cleanClifford Wolf2019-05-151-10/+10
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* More opt_clean cleanupsClifford Wolf2019-05-071-26/+36
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #946 from YosysHQ/clifford/specifyClifford Wolf2019-05-061-1/+1
|\ | | | | Add specify parser
| * Merge branch 'master' of github.com:YosysHQ/yosys into clifford/specifyClifford Wolf2019-05-061-10/+22
| |\
| * \ Merge remote-tracking branch 'origin/master' into clifford/specifyEddie Hung2019-05-031-27/+72
| |\ \
| * | | Add $specrule cells for $setup/$hold/$skew specify rulesClifford Wolf2019-04-231-1/+1
| | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | | Preserve $specify[23] cellsClifford Wolf2019-04-231-1/+1
| | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | Merge branch 'master' of github.com:YosysHQ/yosys into clifford/fix968Clifford Wolf2019-05-061-55/+68
|\ \ \ \
| * | | | Cleanups in opt_cleanClifford Wolf2019-05-061-47/+16
| | |_|/ | |/| | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | | Improve opt_clean handling of unused wiresClifford Wolf2019-05-041-10/+22
| | |/ | |/| | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Improve opt_expr and opt_clean handling of (partially) undriven and/or ↵Clifford Wolf2019-05-031-40/+72
| | | | | | | | | | | | | | | | | | unused wires, fixes #981 Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Further improve unused-detection for opt_clean driver-driver conflict warningClifford Wolf2019-05-031-5/+8
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Improve unused-detection for opt_clean driver-driver conflict warningClifford Wolf2019-05-031-21/+29
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Silently resolve completely unused cell-vs-const driver-driver conflictsClifford Wolf2019-05-011-2/+21
|/ / | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* / Drive dangling wires with init attr with their init value, fixes #956Clifford Wolf2019-04-291-0/+13
|/
* Add log_debug() frameworkClifford Wolf2019-04-221-5/+6
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-4/+4
| | | | | | | | | o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established)
* Add warnings for driver-driver conflicts between FFs (and other cells) and ↵Clifford Wolf2017-12-121-1/+8
| | | | constants
* Fix typo in opt_clean log messageClifford Wolf2017-10-261-1/+1
|
* Add consolidation of init attributes to opt_clean, some opt_clean log fixesClifford Wolf2017-07-291-6/+82
|
* Add $live and $fair cell types, add support for s_eventually keywordClifford Wolf2017-02-251-1/+1
|
* Add $cover cell type and SVA cover() supportClifford Wolf2017-02-041-1/+1
|
* Removed $predict againClifford Wolf2016-08-281-1/+1
|
* After reading the SV spec, using non-standard predict() instead of expect()Clifford Wolf2016-07-211-1/+1
|
* Added basic support for $expect cellsClifford Wolf2016-07-131-1/+1
|
* Connections between inputs and inouts are driven by the inputClifford Wolf2016-04-261-0/+3
|
* Added "yosys -D" featureClifford Wolf2016-04-211-1/+1
|
* Use alphanumerical order instead of idstring idx in opt_clean compare_signals()Clifford Wolf2016-02-021-1/+1
|
* Fixed opt_clean handling of inout portsClifford Wolf2015-08-161-2/+2
|
* Improved handling of "keep" attributes in hierarchical designs in opt_cleanClifford Wolf2015-08-121-25/+57
|
* Merge pull request #70 from gaomy3832/bugfixClifford Wolf2015-08-121-0/+10
|\ | | | | Remove unused blackbox modules in opt_clean.
| * Remove unused blackbox modules in opt_clean.Mingyu Gao2015-08-111-0/+10
| |
* | Added missing ct_all setup to opt_cleanClifford Wolf2015-08-111-0/+3
|/
* Fixed trailing whitespacesClifford Wolf2015-07-021-5/+5
|
* preserve used $-wires with init attribute in opt_cleanClifford Wolf2015-05-221-1/+1
|
* Added non-std verilog assume() statementClifford Wolf2015-02-261-1/+1
|
* Some cleanups in "clean"Clifford Wolf2015-02-241-7/+14
|
* Added $meminit cell typeClifford Wolf2015-02-141-1/+1
|
* Fixed opt_clean performance bugClifford Wolf2015-02-041-26/+26
|
* Using design->selected_modules() in opt_*Clifford Wolf2015-02-031-16/+10
|
* Added dict/pool.sort()Clifford Wolf2015-01-241-0/+4
|
* Cleanups in opt_cleanClifford Wolf2014-12-291-10/+10
|