aboutsummaryrefslogtreecommitdiffstats
path: root/passes/equiv
Commit message (Collapse)AuthorAgeFilesLines
* stop check_signal_in_fanout from traversing FFstklam2018-10-131-2/+2
|
* stop check_signal_in_fanout from traversing FFstklam2018-10-131-1/+12
|
* fix bug: pass by referencetklam2018-09-261-1/+1
|
* Fix issue #639TK Lam2018-09-261-0/+58
|
* Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-2010-20/+20
| | | | | | | | | o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established)
* Improve log messages in equiv_makeClifford Wolf2018-01-191-2/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix equiv_simple, old behavior now available with "equiv_simple -short"Clifford Wolf2017-04-281-10/+41
|
* Squelch trailing whitespaceLarry Doolittle2017-04-121-1/+1
|
* Add $ff and $_FF_ support to equiv_simpleClifford Wolf2017-01-301-2/+2
|
* Improved equiv_purge log outputClifford Wolf2016-11-291-1/+1
|
* Added "yosys -D" featureClifford Wolf2016-04-219-9/+9
|
* Added "equiv_struct -fwonly"Clifford Wolf2016-01-081-5/+17
|
* Bugfixes in equiv_structClifford Wolf2016-01-081-2/+9
|
* Added "equiv_struct -maxiter <N>"Clifford Wolf2016-01-061-4/+16
|
* Added "equiv_add -try" modeClifford Wolf2016-01-061-6/+33
|
* Use mfp<> in equiv_markClifford Wolf2015-10-271-28/+4
|
* Improvements in equiv_structClifford Wolf2015-10-251-17/+62
|
* Major refactoring of equiv_structClifford Wolf2015-10-251-93/+165
|
* Import more std:: stuff into Yosys namespaceClifford Wolf2015-10-251-1/+1
|
* Added "equiv_add -cell"Clifford Wolf2015-10-251-32/+93
|
* equiv_struct now creates equiv_merged attributesClifford Wolf2015-10-251-0/+3
|
* Improvements in equiv_structClifford Wolf2015-10-241-1/+22
|
* renamed SigSpec::to_single_sigbit() to SigSpec::as_bit(), added is_bit()Clifford Wolf2015-10-242-7/+7
|
* equiv_purge bugfix, using SigChunk in Yosys namespaceClifford Wolf2015-10-242-3/+4
|
* Added equiv_mark commandClifford Wolf2015-10-233-1/+265
|
* Also merge $equiv cells in equiv_structClifford Wolf2015-10-231-0/+1
|
* Improvements in equiv_structClifford Wolf2015-10-231-11/+18
|
* Added equiv_purgeClifford Wolf2015-10-222-0/+210
|
* Added equiv_struct commandClifford Wolf2015-10-212-0/+188
|
* Improved inout handling in equiv_makeClifford Wolf2015-10-211-1/+1
|
* Spell check (by Larry Doolittle)Clifford Wolf2015-08-141-1/+1
|
* Fixed trailing whitespacesClifford Wolf2015-07-027-14/+14
|
* Replaced ezDefaultSAT with ezSatPtrClifford Wolf2015-02-212-28/+29
|
* Added equiv_addClifford Wolf2015-02-082-0/+90
|
* Improved performance in equiv_simpleClifford Wolf2015-02-011-23/+72
|
* Fixed equiv_make for partially undriven nets (e.g. after "clean -purge")Clifford Wolf2015-01-311-12/+31
|
* Added "equiv_induct -undef"Clifford Wolf2015-01-311-5/+50
|
* Added "equiv_simple -undef"Clifford Wolf2015-01-311-17/+47
|
* Added "equiv_make -blacklist <file> -encfile <file>"Clifford Wolf2015-01-312-5/+173
|
* Fixed bug in equiv_miterClifford Wolf2015-01-281-6/+6
|
* Added equiv_removeClifford Wolf2015-01-252-0/+84
|
* Added equiv_miterClifford Wolf2015-01-252-0/+344
|
* Various equiv_* improvementsClifford Wolf2015-01-244-14/+20
|
* Added dict/pool.sort()Clifford Wolf2015-01-241-0/+2
|
* Improvements in equiv_make, equiv_inductClifford Wolf2015-01-222-0/+46
|
* Added equiv_inductClifford Wolf2015-01-222-0/+182
|
* Various equiv_simple improvementsClifford Wolf2015-01-221-25/+50
|
* Moved equiv stuff to passes/equiv/Clifford Wolf2015-01-224-0/+600