aboutsummaryrefslogtreecommitdiffstats
path: root/kernel/log.cc
Commit message (Expand)AuthorAgeFilesLines
* Revert "Add log_checkpoint function and use it in opt_muxtree"Eddie Hung2019-07-151-7/+0
* Redesign log_id_cache so that it doesn't keep IdString instances referenced, ...Clifford Wolf2019-07-151-6/+13
* Add log_checkpoint function and use it in opt_muxtreeClifford Wolf2019-07-151-0/+7
* Fixes and cleanups in AST_TECALL handlingClifford Wolf2019-06-071-4/+4
* Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into cliffo...Clifford Wolf2019-06-071-0/+11
|\
| * Initial implementation of elaboration system tasksUdi Finkelstein2019-05-031-0/+11
* | Fix handling of warning and error messages within log_make_debug-blocksClifford Wolf2019-05-221-0/+9
|/
* Add log_debug() frameworkClifford Wolf2019-04-221-0/+7
* Improve determinism of IdString DB for similar scriptsClifford Wolf2019-03-111-0/+4
* Provide source-location logging.Henner Zeller2018-07-191-44/+40
* Add "#ifdef __FreeBSD__"Christian Krämer2018-05-131-3/+3
* Revert "Add "#ifdef __FreeBSD__""Clifford Wolf2018-05-131-3/+3
* Add "#ifdef __FreeBSD__"Johnny Sorocil2018-05-051-3/+3
* Add "yosys -e regex" for turning warnings into errorsClifford Wolf2018-05-041-1/+9
* Improve handling of warning messagesClifford Wolf2018-03-041-12/+38
* Do not create deep backtraces unless in ENABLE_DEBUG modeClifford Wolf2018-02-031-0/+4
* Add log_warning_noprefix() API, Use for Verific warnings and errorsClifford Wolf2017-07-271-0/+34
* Store command history when terminating with an errorClifford Wolf2017-06-201-0/+4
* Add "yosys -w" for suppressing warningsClifford Wolf2017-02-121-8/+21
* Add log_wire() APIClifford Wolf2017-02-111-0/+7
* Simplified log_spacer() codeClifford Wolf2016-12-231-6/+2
* Added "yosys -W regex"Clifford Wolf2016-12-221-1/+32
* Some minor build fixes for Visual CClifford Wolf2016-10-141-0/+2
* Use _Exit(0) on win32, always use _Exit(1) in log_error()Clifford Wolf2016-08-161-1/+1
* Added log_const() APIClifford Wolf2016-08-091-0/+18
* Added "read_verilog -dump_rtlil"Clifford Wolf2016-07-271-0/+7
* Added "yosys -D ALL"Clifford Wolf2016-04-241-0/+4
* Added "yosys -D" featureClifford Wolf2016-04-211-5/+15
* Fixed trailing whitespacesClifford Wolf2015-07-021-2/+2
* Fixed cstr_buf for std::string with small string optimizationClifford Wolf2015-06-111-1/+1
* Added log_dump() support for IdStringsClifford Wolf2015-06-081-0/+4
* Verific build fixesClifford Wolf2015-05-171-1/+1
* YosysJS stuffClifford Wolf2015-02-191-4/+15
* More log_id() stuffClifford Wolf2015-01-301-3/+7
* Some cleanups in log.ccClifford Wolf2015-01-301-14/+16
* Only enable code coverage counters on linuxClifford Wolf2015-01-091-1/+1
* fixed compiler warning on non-linux archsClifford Wolf2015-01-061-2/+4
* Print non-errors to stdoutClifford Wolf2015-01-031-0/+6
* Added "yosys -X"Clifford Wolf2014-12-291-0/+95
* Replaced std::unordered_map as implementation for Yosys::dictClifford Wolf2014-12-261-3/+3
* Added new_dict (hashmap.h) and re-enabled code coverage countersClifford Wolf2014-12-261-3/+3
* Added "yosys -qq" to also quiet warning messagesClifford Wolf2014-11-091-2/+3
* Added log_warning() APIClifford Wolf2014-11-091-2/+23
* Use a cache for log_id() memory managementClifford Wolf2014-11-081-1/+4
* Fixed typo in "log_cmd_error_exception"Clifford Wolf2014-11-071-1/+1
* Made "cover" a compile-time option (disabled by default)Clifford Wolf2014-11-061-1/+1
* Fixed various VS warningsClifford Wolf2014-10-181-1/+1
* Fixed MXE buildClifford Wolf2014-10-151-2/+2
* A few indent fixesClifford Wolf2014-10-151-1/+1
* Fixed log so it will compile under Visual StudioWilliam Speirs2014-10-151-1/+24