aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #946 from YosysHQ/clifford/specifyClifford Wolf2019-05-068-35/+366
|\
| * Add "real" keyword to ilang formatClifford Wolf2019-05-062-1/+8
| * Merge branch 'master' of github.com:YosysHQ/yosys into clifford/specifyClifford Wolf2019-05-062-2/+10
| |\
| * | Improve write_verilog specify supportClifford Wolf2019-05-041-0/+3
| * | Merge remote-tracking branch 'origin/master' into clifford/specifyEddie Hung2019-05-033-2/+14
| |\ \
| * | | Improve $specrule interfaceClifford Wolf2019-04-232-9/+19
| * | | Improve $specrule interfaceClifford Wolf2019-04-231-20/+18
| * | | Add $specrule cells for $setup/$hold/$skew specify rulesClifford Wolf2019-04-234-4/+86
| * | | Allow $specify[23] cells in blackbox modulesClifford Wolf2019-04-231-0/+6
| * | | Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std nom...Clifford Wolf2019-04-231-2/+2
| * | | Checking and fixing specify cells in genRTLILClifford Wolf2019-04-231-1/+15
| * | | Un-break default specify parserClifford Wolf2019-04-231-0/+1
| * | | Add specify parserClifford Wolf2019-04-234-33/+243
* | | | Merge pull request #975 from YosysHQ/clifford/fix968Clifford Wolf2019-05-061-2/+0
|\ \ \ \
| * \ \ \ Merge branch 'master' of github.com:YosysHQ/yosys into clifford/fix968Clifford Wolf2019-05-065-4/+15
| |\ \ \ \
| * | | | | Re-enable "final loop assignment" featureClifford Wolf2019-05-011-2/+0
* | | | | | Merge pull request #871 from YosysHQ/verific_importClifford Wolf2019-05-062-26/+71
|\ \ \ \ \ \ | |_|/ / / / |/| | | | |
| * | | | | For hier_tree::Elaborate() also include SV root modules (bind)Eddie Hung2019-05-031-23/+36
| * | | | | Fix verific_parameters construction, use attribute to mark top netlistsEddie Hung2019-05-032-8/+12
| * | | | | WIP -chparam support for hierarchy when verificEddie Hung2019-05-032-12/+17
| * | | | | verific_import() changes to avoid ElaborateAll()Eddie Hung2019-05-031-15/+38
| | |_|/ / | |/| | |
* | | | | Fix the other bison warning in ilang_parser.yClifford Wolf2019-05-061-1/+1
* | | | | verilog_parser: Fix Bison warningBen Widawsky2019-05-051-1/+1
| |_|_|/ |/| | |
* | | | Merge pull request #988 from YosysHQ/clifford/fix987Clifford Wolf2019-05-042-1/+5
|\ \ \ \
| * | | | Add approximate support for SV "var" keyword, fixes #987Clifford Wolf2019-05-042-1/+5
| |/ / /
* / / / Add support for SVA "final" keywordClifford Wolf2019-05-042-1/+5
|/ / /
* | | Add splitcmplxassign test case and silence splitcmplxassign warningClifford Wolf2019-05-011-0/+1
* | | Fix width detection of memory access with bit slice, fixes #974Clifford Wolf2019-05-011-0/+2
|/ /
* | Disabled "final loop assignment" featureClifford Wolf2019-04-301-0/+2
* | Merge pull request #972 from YosysHQ/clifford/fix968Clifford Wolf2019-04-301-0/+7
|\ \
| * | Add final loop variable assignment when unrolling for-loops, fixes #968Clifford Wolf2019-04-301-0/+7
| |/
* / Include filename in "Executing Verilog-2005 frontend" message, fixes #959Clifford Wolf2019-04-301-2/+2
|/
* Merge pull request #952 from YosysHQ/clifford/fix370Clifford Wolf2019-04-221-3/+18
|\
| * Determine correct signedness and expression width in for loop unrolling, fixe...Clifford Wolf2019-04-221-3/+18
* | Add log_debug() frameworkClifford Wolf2019-04-221-2/+0
|/
* Merge pull request #909 from zachjs/masterClifford Wolf2019-04-221-1/+20
|\
| * support repeat loops with constant repeat counts outside of constant functionsZachary Snow2019-04-091-1/+20
* | Add "noblackbox" attributeClifford Wolf2019-04-211-17/+27
* | New behavior for front-end handling of whiteboxesClifford Wolf2019-04-205-34/+100
* | Add "whitebox" attribute, add "read_verilog -wb"Clifford Wolf2019-04-185-11/+42
* | Add "read_ilang -lib"Clifford Wolf2019-04-053-3/+14
|/
* Build Verilog parser with -DYYMAXDEPTH=100000, fixes #906Clifford Wolf2019-03-291-0/+2
* Add "read -verific" and "read -noverific"Clifford Wolf2019-03-271-6/+28
* Fix "verific -extnets" for more complex situationsClifford Wolf2019-03-261-15/+71
* Fix mem2reg handling of memories with upto data ports, fixes #888Clifford Wolf2019-03-211-1/+10
* Improve "read_verilog -dump_vlog[12]" handling of upto rangesClifford Wolf2019-03-211-3/+6
* Improve read_verilog debug output capabilitiesClifford Wolf2019-03-213-15/+42
* Merge https://github.com/YosysHQ/yosys into read_aigerEddie Hung2019-03-198-110/+348
|\
| * fix local name resolution in prefix constructsZachary Snow2019-03-181-1/+5
| * Improve handling of "full_case" attributesClifford Wolf2019-03-141-0/+9