aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
Commit message (Expand)AuthorAgeFilesLines
...
| * | | | | For hier_tree::Elaborate() also include SV root modules (bind)Eddie Hung2019-05-031-23/+36
| * | | | | Fix verific_parameters construction, use attribute to mark top netlistsEddie Hung2019-05-032-8/+12
| * | | | | WIP -chparam support for hierarchy when verificEddie Hung2019-05-032-12/+17
| * | | | | verific_import() changes to avoid ElaborateAll()Eddie Hung2019-05-031-15/+38
| | |_|/ / | |/| | |
* | | | | Fix the other bison warning in ilang_parser.yClifford Wolf2019-05-061-1/+1
* | | | | verilog_parser: Fix Bison warningBen Widawsky2019-05-051-1/+1
| |_|_|/ |/| | |
* | | | Merge pull request #988 from YosysHQ/clifford/fix987Clifford Wolf2019-05-042-1/+5
|\ \ \ \
| * | | | Add approximate support for SV "var" keyword, fixes #987Clifford Wolf2019-05-042-1/+5
| |/ / /
* / / / Add support for SVA "final" keywordClifford Wolf2019-05-042-1/+5
|/ / /
* | | Add splitcmplxassign test case and silence splitcmplxassign warningClifford Wolf2019-05-011-0/+1
* | | Fix width detection of memory access with bit slice, fixes #974Clifford Wolf2019-05-011-0/+2
|/ /
* | Disabled "final loop assignment" featureClifford Wolf2019-04-301-0/+2
* | Merge pull request #972 from YosysHQ/clifford/fix968Clifford Wolf2019-04-301-0/+7
|\ \
| * | Add final loop variable assignment when unrolling for-loops, fixes #968Clifford Wolf2019-04-301-0/+7
| |/
* / Include filename in "Executing Verilog-2005 frontend" message, fixes #959Clifford Wolf2019-04-301-2/+2
|/
* Merge pull request #952 from YosysHQ/clifford/fix370Clifford Wolf2019-04-221-3/+18
|\
| * Determine correct signedness and expression width in for loop unrolling, fixe...Clifford Wolf2019-04-221-3/+18
* | Add log_debug() frameworkClifford Wolf2019-04-221-2/+0
|/
* Merge pull request #909 from zachjs/masterClifford Wolf2019-04-221-1/+20
|\
| * support repeat loops with constant repeat counts outside of constant functionsZachary Snow2019-04-091-1/+20
* | Add "noblackbox" attributeClifford Wolf2019-04-211-17/+27
* | New behavior for front-end handling of whiteboxesClifford Wolf2019-04-205-34/+100
* | Add "whitebox" attribute, add "read_verilog -wb"Clifford Wolf2019-04-185-11/+42
* | Add "read_ilang -lib"Clifford Wolf2019-04-053-3/+14
|/
* Build Verilog parser with -DYYMAXDEPTH=100000, fixes #906Clifford Wolf2019-03-291-0/+2
* Add "read -verific" and "read -noverific"Clifford Wolf2019-03-271-6/+28
* Fix "verific -extnets" for more complex situationsClifford Wolf2019-03-261-15/+71
* Fix mem2reg handling of memories with upto data ports, fixes #888Clifford Wolf2019-03-211-1/+10
* Improve "read_verilog -dump_vlog[12]" handling of upto rangesClifford Wolf2019-03-211-3/+6
* Improve read_verilog debug output capabilitiesClifford Wolf2019-03-213-15/+42
* Merge https://github.com/YosysHQ/yosys into read_aigerEddie Hung2019-03-198-110/+348
|\
| * fix local name resolution in prefix constructsZachary Snow2019-03-181-1/+5
| * Improve handling of "full_case" attributesClifford Wolf2019-03-141-0/+9
| * Improve handling of memories used in mem index expressions on LHS of an assig...Clifford Wolf2019-03-121-5/+16
| * Remove outdated "blocking assignment to memory" warningClifford Wolf2019-03-121-10/+0
| * Only set MEM2REG_FL_CONST_LHS/MEM2REG_FL_VAR_LHS for non-init writes, fixes #867Clifford Wolf2019-03-121-6/+8
| * Fix handling of cases that look like sva labels, fixes #862Clifford Wolf2019-03-102-92/+66
| * Merge pull request #858 from YosysHQ/clifford/svalabelsClifford Wolf2019-03-095-56/+201
| |\
| | * Also add support for labels on sva module items, fixes #699Clifford Wolf2019-03-082-44/+113
| | * Add support for SVA labels in read_verilogClifford Wolf2019-03-073-26/+89
| | * Add hack for handling SVA labels via VerificClifford Wolf2019-03-071-1/+14
| * | Update help message for -chparamEddie Hung2019-03-091-1/+2
| * | Add -chparam option to verific commandEddie Hung2019-03-091-2/+18
| * | Fix spellingEddie Hung2019-03-091-1/+1
| * | Fix handling of task output ports in clocked always blocks, fixes #857Clifford Wolf2019-03-071-15/+18
| |/
| * Merge pull request #848 from YosysHQ/clifford/fix763Clifford Wolf2019-03-021-1/+5
| |\
| | * Fix error for wire decl in always block, fixes #763Clifford Wolf2019-03-021-1/+5
| * | Only run derive on blackbox modules when ports have dynamic sizeClifford Wolf2019-03-022-0/+20
| |/
| * Fix $global_clock handling vs autowireClifford Wolf2019-03-021-1/+1
| * Fix $readmem[hb] for mem2reg memories, fixes #785Clifford Wolf2019-03-021-0/+35