aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
Commit message (Expand)AuthorAgeFilesLines
* Fixed brojen $error()/$info/$warning() on non-generate blocksUdi Finkelstein2019-06-112-3/+13
* Fix spacing from spaces to tabsEddie Hung2019-06-071-362/+362
* Fix spacing (entire file is wrong anyway, will fix later)Eddie Hung2019-06-071-3/+3
* Remove unnecessary std::getline() for ASCIIEddie Hung2019-06-071-3/+0
* Fix read_aiger -- create zero driver, fix init width, parse 'b'Eddie Hung2019-06-072-13/+52
* Fixes and cleanups in AST_TECALL handlingClifford Wolf2019-06-073-46/+34
* Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into cliffo...Clifford Wolf2019-06-076-5/+64
|\
| * Initial implementation of elaboration system tasksUdi Finkelstein2019-05-036-5/+64
* | Cleanup tux3-implicit_named_connectionClifford Wolf2019-06-071-1/+1
* | Merge branch 'implicit_named_connection' of https://github.com/tux3/yosys int...Clifford Wolf2019-06-071-1/+10
|\ \
| * | SystemVerilog support for implicit named port connectionstux32019-06-061-9/+17
* | | Merge pull request #1060 from antmicro/parsing_attr_on_port_connClifford Wolf2019-06-061-10/+14
|\ \ \ | |/ / |/| |
| * | Fixed memory leak.Maciej Kurc2019-06-051-0/+4
| * | Added support for parsing attributes on port connections.Maciej Kurc2019-05-311-10/+10
* | | Only support Symbiotic EDA flavored VerificClifford Wolf2019-06-021-0/+8
|/ /
* | Enable Verific flag veri_elaborate_top_level_modules_having_interface_ports, ...Clifford Wolf2019-05-301-0/+3
* | Merge branch 'master' into wandworStefan Biereigel2019-05-275-14/+47
|\ \
| * \ Merge pull request #1044 from mmicko/invalid_width_rangeClifford Wolf2019-05-271-1/+2
| |\ \
| | * | Give error instead of asserting for invalid range, fixes #947Miodrag Milanovic2019-05-271-1/+2
| * | | Added support for unsized constants, fixes #1022Miodrag Milanovic2019-05-275-13/+45
| |/ /
* | | remove leftovers from ast data structuresStefan Biereigel2019-05-272-4/+0
* | | move wand/wor resolution into hierarchy passStefan Biereigel2019-05-271-97/+14
* | | fix assignment of non-wiresStefan Biereigel2019-05-231-16/+19
* | | fix indentation across filesStefan Biereigel2019-05-234-63/+83
* | | implementation for assignments workingStefan Biereigel2019-05-233-14/+83
* | | make lexer/parser aware of wand/wor net typesStefan Biereigel2019-05-233-2/+10
|/ /
* | Rename labelEddie Hung2019-05-211-6/+5
* | Try againEddie Hung2019-05-211-4/+10
* | Fix warningEddie Hung2019-05-211-3/+2
* | Read bigger Verilog files.Kaj Tuomi2019-05-181-1/+1
* | Merge pull request #1013 from antmicro/parameter_attributesClifford Wolf2019-05-161-2/+2
|\ \
| * | Added support for parsing attributes on parameters in Verilog frontent. Conte...Maciej Kurc2019-05-161-2/+2
* | | Make the generated *.tab.hh include all the headers needed to define the union.Henner Zeller2019-05-142-2/+18
|/ /
* | Merge pull request #946 from YosysHQ/clifford/specifyClifford Wolf2019-05-068-35/+366
|\ \
| * | Add "real" keyword to ilang formatClifford Wolf2019-05-062-1/+8
| * | Merge branch 'master' of github.com:YosysHQ/yosys into clifford/specifyClifford Wolf2019-05-062-2/+10
| |\ \
| * | | Improve write_verilog specify supportClifford Wolf2019-05-041-0/+3
| * | | Merge remote-tracking branch 'origin/master' into clifford/specifyEddie Hung2019-05-033-2/+14
| |\ \ \
| * | | | Improve $specrule interfaceClifford Wolf2019-04-232-9/+19
| * | | | Improve $specrule interfaceClifford Wolf2019-04-231-20/+18
| * | | | Add $specrule cells for $setup/$hold/$skew specify rulesClifford Wolf2019-04-234-4/+86
| * | | | Allow $specify[23] cells in blackbox modulesClifford Wolf2019-04-231-0/+6
| * | | | Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std nom...Clifford Wolf2019-04-231-2/+2
| * | | | Checking and fixing specify cells in genRTLILClifford Wolf2019-04-231-1/+15
| * | | | Un-break default specify parserClifford Wolf2019-04-231-0/+1
| * | | | Add specify parserClifford Wolf2019-04-234-33/+243
* | | | | Merge pull request #975 from YosysHQ/clifford/fix968Clifford Wolf2019-05-061-2/+0
|\ \ \ \ \
| * \ \ \ \ Merge branch 'master' of github.com:YosysHQ/yosys into clifford/fix968Clifford Wolf2019-05-065-4/+15
| |\ \ \ \ \
| * | | | | | Re-enable "final loop assignment" featureClifford Wolf2019-05-011-2/+0
| | |_|_|_|/ | |/| | | |
* | | | | | Merge pull request #871 from YosysHQ/verific_importClifford Wolf2019-05-062-26/+71
|\ \ \ \ \ \ | |_|/ / / / |/| | | | |