aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
Commit message (Collapse)AuthorAgeFilesLines
* Fix compilation for emccjiegec2020-03-111-1/+2
|
* Fix partsel expr bit width handling and add test caseClaire Wolf2020-03-081-4/+6
| | | | Signed-off-by: Claire Wolf <claire@symbioticeda.com>
* Fix bison warning for "pure-parser" optionClaire Wolf2020-03-031-1/+1
| | | | Signed-off-by: Claire Wolf <claire@symbioticeda.com>
* Merge pull request #1718 from boqwxp/precise_locationsClaire Wolf2020-03-038-299/+384
|\ | | | | Closes #1717. Add more precise Verilog source location information to AST and RTLIL nodes.
| * Closes #1717. Add more precise Verilog source location information to AST ↵Alberto Gonzalez2020-02-238-299/+384
| | | | | | | | and RTLIL nodes.
* | Merge pull request #1681 from YosysHQ/eddie/fix1663Claire Wolf2020-03-031-15/+13
|\ \ | | | | | | verilog: instead of modifying localparam size, extend init constant expr
| * | verilog: instead of modifying localparam size, extend init constant exprEddie Hung2020-02-051-15/+13
| | |
* | | Merge pull request #1724 from YosysHQ/eddie/abc9_specifyEddie Hung2020-03-022-12/+20
|\ \ \ | | | | | | | | abc9: auto-generate *.lut/*.box files and arrival/required times from specify entries
| * | | ast: quiet down when deriving blackbox modulesEddie Hung2020-02-272-12/+20
| | |/ | |/|
* | | ast: fixes #1710; do not generate RTLIL for unreachable ternaryEddie Hung2020-02-271-9/+22
| | |
* | | Comment out log()Eddie Hung2020-02-271-1/+1
|/ /
* | Merge pull request #1703 from YosysHQ/eddie/specify_improveEddie Hung2020-02-213-36/+92
|\ \ | | | | | | Improve specify parser
| * | verilog: add support for more delays than just rise/fallEddie Hung2020-02-191-1/+40
| | |
| * | verilog: ignore ranges too without -specifyEddie Hung2020-02-131-1/+2
| | |
| * | verilog: improve specify support when not in -specify modeEddie Hung2020-02-131-13/+7
| | |
| * | verilog: ignore '&&&' when not in -specify modeEddie Hung2020-02-132-5/+6
| | |
| * | specify: system timing checks to accept min:typ:max tripleEddie Hung2020-02-131-12/+29
| | |
| * | verilog: fix $specify3 checkEddie Hung2020-02-131-7/+11
| | |
* | | Merge pull request #1642 from jjj11x/jjj11x/sv-enumClaire Wolf2020-02-205-18/+325
|\ \ \ | |/ / |/| | Enum support
| * | remove unnecessary blank lineJeff Wang2020-02-171-2/+1
| | |
| * | add attributes for enumerated values in ilangJeff Wang2020-02-173-2/+76
| | | | | | | | | | | | | | | | | | - information also useful for strongly-typed enums (not implemented) - resolves enum values in ilang part of #1594 - still need to output enums to VCD (or better yet FST) files
| * | separate out enum_item/param implementation when they should be differentJeff Wang2020-02-171-7/+16
| | |
| * | fix bug introduced by not taking all of PeterCrozier's changes in 16ea4ea6Jeff Wang2020-01-171-4/+6
| | | | | | | | | | | | | | | | | | | | | | | | The if(str == node->str) is in fact necessary (otherwise causes generate for in Multiplier_2D in tests/simple/multiplier.v to fail with error message "Right hand side of 3rd expression of generate for-loop is not constant!"). Note: in PeterCrozier's implementation, the break only breaks out of the switch-case, not the outer for loop.
| * | fix enum in generate blocksJeff Wang2020-01-161-0/+20
| | |
| * | allow enums to be declared at toplevel scopeJeff Wang2020-01-161-0/+7
| | |
| * | lexer doesn't seem to return TOK_REG for logic anymoreJeff Wang2020-01-161-3/+4
| | |
| * | allow enum typedefsJeff Wang2020-01-161-1/+6
| | |
| * | partial rebase of PeterCrozier's enum work onto current masterJeff Wang2020-01-165-17/+207
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | I tried to keep only the enum-related changes, and minimize the diff. (The original commit also had a lot of work done to get typedefs working, but yosys has diverged quite a bit since the 2018-03-09 commit, with a new typedef implementation.) I did not include the import related changes either. Original commit: "Initial implementation of enum, typedef, import. Still a WIP." https://github.com/PeterCrozier/yosys/commit/881833aa738e7404987646ea8076284e911fce3f
* | | Merge pull request #1679 from thasti/delay-parsingN. Engelhardt2020-02-131-2/+2
|\ \ \ | | | | | | | | Fix crash on wire declaration with delay
| * | | correct wire declaration grammar for #1614Stefan Biereigel2020-02-031-2/+2
| | | |
* | | | Modified $readmem[hb] to use '\' or '/' according the OSRodrigo Alejandro Melo2020-02-061-1/+6
| | | | | | | | | | | | | | | | Signed-off-by: Rodrigo Alejandro Melo <rmelo@inti.gob.ar>
* | | | Merge branch 'master' of https://github.com/YosysHQ/yosysRodrigo Alejandro Melo2020-02-034-94/+118
|\ \ \ \ | | |_|/ | |/| | | | | | | | | | | | | | Solved a conflict into the CHANGELOG Signed-off-by: Rodrigo Alejandro Melo <rmelo@inti.gob.ar>
| * | | sv: Improve handling of wildcard port connectionsDavid Shah2020-02-022-4/+6
| | | | | | | | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
| * | | hierarchy: Resolve SV wildcard port connectionsDavid Shah2020-02-021-1/+1
| | | | | | | | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
| * | | sv: Add lexing and parsing of .* (wildcard port conns)David Shah2020-02-022-1/+6
| | | | | | | | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
| * | | Merge pull request #1647 from YosysHQ/dave/sprintfDavid Shah2020-02-022-93/+110
| |\ \ \ | | | | | | | | | | ast: Add support for $sformatf system function
| | * | | ast: Add support for $sformatf system functionDavid Shah2020-01-192-93/+110
| | | |/ | | |/| | | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | | | Replaced strlen by GetSize into simplify.ccRodrigo Alejandro Melo2020-02-031-2/+2
| | | | | | | | | | | | | | | | | | | | | | | | As recommended in CodingReadme. Signed-off-by: Rodrigo Alejandro Melo <rmelo@inti.gob.ar>
* | | | Fixed a bug in the new feature of $readmem[hb] when an empty string is providedRodrigo Alejandro Melo2020-02-011-1/+1
| | | | | | | | | | | | | | | | Signed-off-by: Rodrigo Alejandro Melo <rodrigomelo9@gmail.com>
* | | | Modified the new search for files of $readmem[hb] to be backward compatibleRodrigo Alejandro Melo2020-01-311-3/+7
| | | | | | | | | | | | | | | | Signed-off-by: Rodrigo Alejandro Melo <rodrigomelo9@gmail.com>
* | | | $readmem[hb] file inclusion is now relative to the Verilog fileRodrigo Alejandro Melo2020-01-311-1/+2
|/ / / | | | | | | | | | Signed-off-by: Rodrigo Alejandro Melo <rodrigomelo9@gmail.com>
* | | Merge pull request #1667 from YosysHQ/clifford/verificnandClaire Wolf2020-01-301-0/+8
|\ \ \ | |_|/ |/| | Add Verific support for OPER_REDUCE_NAND
| * | Add Verific support for OPER_REDUCE_NANDClaire Wolf2020-01-301-0/+8
| | | | | | | | | | | | Signed-off-by: Claire Wolf <clifford@clifford.at>
* | | Merge pull request #1503 from YosysHQ/eddie/verific_helpClaire Wolf2020-01-301-8/+8
|\ \ \ | | | | | | | | `verific` pass to print help message when command syntax error
| * \ \ Merge remote-tracking branch 'origin/master' into eddie/verific_helpEddie Hung2020-01-2711-229/+347
| |\ \ \ | | | |/ | | |/|
| * | | verific: no help() when no YOSYS_ENABLE_VERIFICEddie Hung2020-01-271-4/+1
| | | |
| * | | OopsEddie Hung2019-11-191-1/+1
| | | |
| * | | Print help message for verific passEddie Hung2019-11-191-9/+12
| | | |
* | | | Merge pull request #1654 from YosysHQ/eddie/sby_fix69Claire Wolf2020-01-301-0/+6
|\ \ \ \ | |_|_|/ |/| | | verific: unflatten struct ports
| * | | verific: also unflatten for 'hierarchy' flow as per @cliffordwolfEddie Hung2020-01-271-0/+3
| | | |