aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
Commit message (Collapse)AuthorAgeFilesLines
* json: Parse inout correctly rather than as an outputRobert Ou2017-08-141-0/+1
|
* Add merging of "past FFs" to verific importerClifford Wolf2017-07-291-2/+76
|
* Add minimal support for PSL in VHDL via VerificClifford Wolf2017-07-281-19/+155
|
* Improve Verific HDL language optionsClifford Wolf2017-07-281-4/+4
|
* Fix handling of non-user-declared Verific netbusClifford Wolf2017-07-281-2/+3
|
* Improve Verific SVA importerClifford Wolf2017-07-271-0/+34
|
* Add log_warning_noprefix() API, Use for Verific warnings and errorsClifford Wolf2017-07-271-1/+1
|
* Add "verific -import -n" and "verific -import -nosva"Clifford Wolf2017-07-271-14/+36
|
* Improve Verific SVA import: negedge and $pastClifford Wolf2017-07-271-6/+49
|
* Improve Verific SVA importerClifford Wolf2017-07-271-37/+58
|
* Improve Verific bindings (mostly related to SVA)Clifford Wolf2017-07-261-110/+320
|
* Improve "help verific" messageClifford Wolf2017-07-251-5/+5
|
* Add "verific -extnets"Clifford Wolf2017-07-251-23/+130
|
* Improve "verific -all" handlingClifford Wolf2017-07-251-26/+45
|
* Add "verific -import -d <dump_file"Clifford Wolf2017-07-241-6/+35
|
* Add "verific -import -flatten" and "verific -import -v"Clifford Wolf2017-07-241-107/+164
|
* Add "verific -import -k"Clifford Wolf2017-07-221-42/+51
|
* Improve docs for verific bindings, add simply sby exampleClifford Wolf2017-07-225-48/+89
|
* Fix "read_blif -wideports" handling of cells with wide portsClifford Wolf2017-07-211-3/+33
|
* Add a paragraph about pre-defined macros to read_verilog help messageClifford Wolf2017-07-211-0/+4
|
* Add attributes and parameter support to JSON front-endClifford Wolf2017-07-101-7/+50
|
* Add JSON front-endClifford Wolf2017-07-082-0/+472
|
* Add Verific Release information to logClifford Wolf2017-07-041-0/+12
|
* Fix generation of vlogtb output in yosys-smtbmc for "rand reg" and "rand ↵Clifford Wolf2017-06-072-0/+8
| | | | const reg"
* Fix handling of Verilog ~& and ~| operatorsClifford Wolf2017-06-011-0/+8
|
* Add support for localparam in module headerClifford Wolf2017-04-301-1/+7
|
* Add support for `resetall compiler directiveClifford Wolf2017-04-261-0/+7
|
* Fix verilog pre-processor for multi-level relative includesClifford Wolf2017-03-141-4/+26
|
* Allow $anyconst, etc. in non-formal SV modeClifford Wolf2017-03-011-1/+1
|
* Add $live and $fair cell types, add support for s_eventually keywordClifford Wolf2017-02-256-4/+40
|
* Add support for SystemVerilog unique, unique0, and priority caseClifford Wolf2017-02-232-4/+25
|
* Preserve string parametersClifford Wolf2017-02-231-2/+8
|
* Added SystemVerilog support for ++ and --Clifford Wolf2017-02-232-1/+12
|
* Fix incorrect "incompatible re-declaration of wire" error in tasks/functionsClifford Wolf2017-02-141-2/+9
|
* Add support for verific mem initializationClifford Wolf2017-02-111-0/+38
|
* Fix another stupid bug in the same lineClifford Wolf2017-02-111-1/+1
|
* Add verific support for initialized variablesClifford Wolf2017-02-111-3/+47
|
* Improve handling of Verific warnings and error messagesClifford Wolf2017-02-111-4/+10
|
* Fix extremely stupid typoClifford Wolf2017-02-111-1/+1
|
* Add checker support to verilog front-endClifford Wolf2017-02-092-11/+24
|
* Add "rand" and "rand const" verific supportClifford Wolf2017-02-091-0/+41
|
* Add SV "rand" and "const rand" supportClifford Wolf2017-02-082-8/+28
|
* Add PSL parser mode to verific front-endClifford Wolf2017-02-081-2/+17
|
* Add "read_blif -wideports"Clifford Wolf2017-02-062-5/+77
|
* Further improve cover() supportClifford Wolf2017-02-041-0/+6
|
* Add $cover cell type and SVA cover() supportClifford Wolf2017-02-047-5/+16
|
* Add assert/assume support to verific front-endClifford Wolf2017-02-042-625/+687
|
* Add "enum" and "typedef" lexer supportClifford Wolf2017-01-172-1/+4
|
* Fix bug in AstNode::mem2reg_as_needed_pass2()Clifford Wolf2017-01-151-0/+2
|
* Fixed handling of local memories in functionsClifford Wolf2017-01-051-2/+2
|