aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
Commit message (Expand)AuthorAgeFilesLines
* Import more std:: stuff into Yosys namespaceClifford Wolf2015-10-254-38/+38
* Fixed bug in verilog parserClifford Wolf2015-10-151-1/+1
* SystemVerilog also has assume(), added implicit -D FORMALClifford Wolf2015-10-133-4/+5
* Added support for "parameter" and "localparam" in global contextClifford Wolf2015-10-071-0/+2
* Fixed complexity of assigning to vectors in constant functionsClifford Wolf2015-10-011-0/+3
* Fixed detection of unconditional $readmem[hb]Clifford Wolf2015-09-301-4/+11
* Bugfixes in $readmem[hb]Clifford Wolf2015-09-251-4/+7
* Fixed segfault in AstNode::asRealClifford Wolf2015-09-251-1/+1
* Added read-enable to memory modelClifford Wolf2015-09-252-1/+3
* Fixed AstNode::mkconst_bits() segfault on zero-sized constantClifford Wolf2015-09-241-1/+1
* Added read_verilog -nodpiClifford Wolf2015-09-231-0/+19
* Bugfix in handling of multi-dimensional memoriesClifford Wolf2015-09-231-2/+2
* Warning for $display/$write outside initial blockClifford Wolf2015-09-231-7/+8
* Fixed support for $write system taskClifford Wolf2015-09-231-1/+1
* Fixed detection of "task foo(bar);" syntax errorClifford Wolf2015-09-221-0/+2
* Fixed multi-level prefix resolvingClifford Wolf2015-09-221-0/+2
* Fixed segfault on invalid verilog constant 1'b_Clifford Wolf2015-09-221-1/+1
* Improvements to $display system taskAndrew Zonenberg2015-09-191-9/+22
* Added AST_INITIAL checks for $finish and $displayClifford Wolf2015-09-181-2/+9
* Initial implementation of $display()Andrew Zonenberg2015-09-181-1/+84
* Initial implementation of $finish()Andrew Zonenberg2015-09-181-2/+8
* gcc-4.6 build fixesClifford Wolf2015-09-011-1/+1
* Fixed handling of memory read without addressClifford Wolf2015-08-221-1/+1
* Small corrections to const2ast warning messagesClifford Wolf2015-08-171-2/+2
* Check base-n literals only contain valid digitsFlorian Zeitz2015-08-171-0/+3
* Warn on literals exceeding the specified bit widthFlorian Zeitz2015-08-171-34/+39
* Another block of spelling fixesLarry Doolittle2015-08-143-7/+7
* Keep gcc from complaining about uninitialized variablesLarry Doolittle2015-08-141-2/+2
* Re-created command-reference-manual.tex, copied some doc fixes to online helpClifford Wolf2015-08-141-6/+6
* Spell check (by Larry Doolittle)Clifford Wolf2015-08-145-16/+16
* Adjust makefiles to work with out-of-tree buildsClifford Wolf2015-08-126-8/+12
* Fixed handling of [a-fxz?] in decimal constantsClifford Wolf2015-08-111-2/+7
* Add -noautowire option to verilog frontendMarcus Comstedt2015-08-011-1/+8
* Added WORDS parameter to $meminitClifford Wolf2015-07-313-7/+67
* Fixed nested mem2regClifford Wolf2015-07-292-4/+11
* Fixed trailing whitespacesClifford Wolf2015-07-0220-49/+49
* Fixed handling of parameters with reversed rangeClifford Wolf2015-06-081-1/+1
* Fixed signedness of genvar expressionsClifford Wolf2015-05-291-2/+2
* Improvements in BLIF front-endClifford Wolf2015-05-241-4/+50
* bugfix in blif front-endClifford Wolf2015-05-181-3/+3
* Improved .latch support in BLIF front-endClifford Wolf2015-05-171-3/+30
* Added read_blif commandClifford Wolf2015-05-171-1/+31
* Generalized blifparse APIClifford Wolf2015-05-172-17/+25
* abc/blifparse files reorganizationClifford Wolf2015-05-173-0/+298
* Verific build fixesClifford Wolf2015-05-172-2/+2
* Verilog front-end: define `BLACKBOX in -lib modeClifford Wolf2015-04-191-1/+2
* Ignore celldefine directive in verilog front-endClifford Wolf2015-03-251-0/+3
* Const-fold parameter defs on-demand in AstNode::detectSignWidthWorker()Clifford Wolf2015-03-011-2/+4
* Added non-std verilog assume() statementClifford Wolf2015-02-268-13/+37
* Added deep recursion warning to AST simplifyClifford Wolf2015-02-201-1/+7