aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog
Commit message (Expand)AuthorAgeFilesLines
* Added Verilog support for "`default_nettype none"Clifford Wolf2014-02-175-2/+18
* Added a warning note about error reporting to read_verilog help messageClifford Wolf2014-02-161-0/+5
* Implemented read_verilog -deferClifford Wolf2014-02-131-1/+11
* Added support for functions returning integerClifford Wolf2014-02-121-2/+12
* Added read_verilog -setattrClifford Wolf2014-02-051-0/+15
* Added support for blanks after -I and -D in read_verilogClifford Wolf2014-02-021-7/+20
* Added constant size expression support of sized constantsClifford Wolf2014-02-011-0/+22
* Added read_verilog -icells optionClifford Wolf2014-01-291-1/+9
* Fixed handling of unsized constants in verilog frontendClifford Wolf2014-01-241-2/+2
* Added Verilog parser support for assertsClifford Wolf2014-01-192-3/+10
* Fixed parsing of verilog macros at end of lineClifford Wolf2014-01-181-1/+1
* Added verilog_defaults commandClifford Wolf2014-01-171-0/+66
* Fixed parsing of non-arg macro calls followed by "("Clifford Wolf2013-12-271-1/+7
* Fixed parsing of macros with no arguments and expansion text starting with "("Clifford Wolf2013-12-271-1/+2
* Added proper === and !== support in constant expressionsClifford Wolf2013-12-272-3/+11
* Added elsif preproc supportClifford Wolf2013-12-181-1/+14
* Added support for macro argumentsClifford Wolf2013-12-181-23/+75
* Added AstNode::mkconst_str APIClifford Wolf2013-12-051-12/+1
* Various improvements in support for generate statementsClifford Wolf2013-12-042-5/+43
* Added support for local regs in named blocksClifford Wolf2013-12-041-2/+5
* Added verilog frontend -ignore_redef optionClifford Wolf2013-11-241-1/+10
* Improved handling of initialized registersClifford Wolf2013-11-231-10/+10
* Renamed "placeholder" to "blackbox"Clifford Wolf2013-11-221-1/+1
* Fixed O(n^2) performance bug in verilog preprocessorClifford Wolf2013-11-221-1/+1
* Enable {* .. *} feature per default (removes dependency to REJECT feature in ...Clifford Wolf2013-11-224-22/+2
* Implemented indexed part selectsClifford Wolf2013-11-202-0/+14
* Added "synthesis" in (synopsys|synthesis) comment supportClifford Wolf2013-11-201-6/+6
* Implemented part/bit select on memory readClifford Wolf2013-11-201-2/+12
* Added init= attribute for fpga-style reset valuesClifford Wolf2013-11-201-6/+19
* Fixed parsing of module arguments when one type is used for many argsClifford Wolf2013-11-191-3/+10
* Fixed parsing of "parameter integer"Clifford Wolf2013-11-131-2/+2
* Various fixes for correct parameter supportClifford Wolf2013-11-071-26/+52
* Fixed handling of boolean attributes (frontends)Clifford Wolf2013-10-241-2/+2
* Fixed handling of boolean attributes (kernel)Clifford Wolf2013-10-241-1/+1
* fixed Verilog parser filename and line numbering issue with include filesJohann Glaser2013-08-211-0/+2
* Added support for include directories with the new '-I' argument of theJohann Glaser2013-08-203-4/+24
* Added support for notif0/notif1 primitivesJohann Glaser2013-08-201-1/+1
* Added support for bufif0/bufif1 primitivesClifford Wolf2013-08-191-1/+1
* Improved ast dumping (ast/verilog frontend)Clifford Wolf2013-08-191-12/+11
* Major redesign of expr width/sign detecion (verilog/ast frontend)Clifford Wolf2013-07-091-1/+1
* Added defparam support to Verilog/AST frontendClifford Wolf2013-07-042-10/+41
* More fixes for bugs found using xsthammerClifford Wolf2013-06-132-4/+14
* Further improved and extended xsthammerClifford Wolf2013-06-111-0/+1
* Enabled AST/Verilog front-end optimizations per defaultClifford Wolf2013-06-101-1/+10
* Added SAT generator and simple sat_solve commandClifford Wolf2013-06-072-3/+4
* added option '-Dname[=definition]' to command 'read_verilog'Johann Glaser2013-05-193-4/+19
* Added support for verilog === operatorClifford Wolf2013-05-071-0/+2
* Added AST_INITIAL (before verilog "initial" was mapped to AST_ALWAYS)Clifford Wolf2013-03-311-1/+1
* Implemented proper handling of stub placeholder modulesClifford Wolf2013-03-281-1/+9
* Improvements and bugfixes for generate blocks with local signalsClifford Wolf2013-03-261-1/+1