aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog/verilog_frontend.h
Commit message (Expand)AuthorAgeFilesLines
* Remember global declarations and defines accross read_verilog callsClifford Wolf2016-11-151-1/+2
* Added read_verilog -norestrict -assume-assertsClifford Wolf2016-08-261-0/+6
* No tristate warning message for "read_verilog -lib"Clifford Wolf2016-07-231-0/+3
* Fixed trailing whitespacesClifford Wolf2015-07-021-2/+2
* Added non-std verilog assume() statementClifford Wolf2015-02-261-0/+3
* Added warning for use of 'z' constants in HDLClifford Wolf2014-11-141-1/+1
* Changed frontend-api from FILE to std::istreamClifford Wolf2014-08-231-1/+4
* Moved some stuff to kernel/yosys.{h,cc}, using Yosys:: namespaceClifford Wolf2014-07-311-1/+5
* Added read_verilog -sv options, added support for bit, logic,Clifford Wolf2014-06-121-0/+3
* Added Verilog support for "`default_nettype none"Clifford Wolf2014-02-171-0/+3
* Enable {* .. *} feature per default (removes dependency to REJECT feature in ...Clifford Wolf2013-11-221-3/+0
* Added support for include directories with the new '-I' argument of theJohann Glaser2013-08-201-1/+2
* added option '-Dname[=definition]' to command 'read_verilog'Johann Glaser2013-05-191-1/+1
* initial importClifford Wolf2013-01-051-0/+62