aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog/preproc.cc
Commit message (Expand)AuthorAgeFilesLines
* Support SystemVerilog `` extension for macrosJim Paris2018-05-171-1/+5
* Skip spaces around macro argumentsJim Paris2018-05-171-0/+1
* Add support for "yosys -E"Clifford Wolf2018-01-071-2/+4
* Accommodate Windows-style paths during include-file processing.William D. Jones2017-11-141-4/+20
* Minor coding style fixClifford Wolf2017-09-261-1/+1
* Adding support for string macros and macros with arguments after includecombinatorylogic2017-09-211-41/+69
* Add support for `resetall compiler directiveClifford Wolf2017-04-261-0/+7
* Fix verilog pre-processor for multi-level relative includesClifford Wolf2017-03-141-4/+26
* Added support for macros as include file namesClifford Wolf2016-11-281-0/+2
* Remember global declarations and defines accross read_verilog callsClifford Wolf2016-11-151-1/+14
* SystemVerilog also has assume(), added implicit -D FORMALClifford Wolf2015-10-131-1/+2
* Fixed trailing whitespacesClifford Wolf2015-07-021-3/+3
* Fixed handling of "//" in filenames in verilog pre-processorClifford Wolf2015-02-141-1/+1
* Define YOSYS and SYNTHESIS in preprocClifford Wolf2015-01-021-1/+2
* Re-introduced Yosys::readsome() helper functionClifford Wolf2014-10-231-5/+3
* Replaced readsome() with read() and gcount()Clifford Wolf2014-10-151-3/+5
* Fixed win32 troubles with f.readsome()Clifford Wolf2014-10-111-1/+1
* Added format __attribute__ to stringf()Clifford Wolf2014-10-101-1/+1
* Changed frontend-api from FILE to std::istreamClifford Wolf2014-08-231-15/+16
* Fixed line numbers when using here-doc macrosClifford Wolf2014-08-141-4/+9
* Added support for non-standard """ macro bodiesClifford Wolf2014-08-131-1/+12
* Moved some stuff to kernel/yosys.{h,cc}, using Yosys:: namespaceClifford Wolf2014-07-311-0/+4
* Fixed counting verilog line numbers for "// synopsys translate_off" sectionsClifford Wolf2014-07-301-1/+1
* Fixed Verilog pre-processor for files with no trailing newlineClifford Wolf2014-07-291-1/+1
* Using log_assert() instead of assert()Clifford Wolf2014-07-281-2/+1
* Added Verilog support for "`default_nettype none"Clifford Wolf2014-02-171-1/+0
* Fixed parsing of verilog macros at end of lineClifford Wolf2014-01-181-1/+1
* Fixed parsing of non-arg macro calls followed by "("Clifford Wolf2013-12-271-1/+7
* Fixed parsing of macros with no arguments and expansion text starting with "("Clifford Wolf2013-12-271-1/+2
* Added elsif preproc supportClifford Wolf2013-12-181-1/+14
* Added support for macro argumentsClifford Wolf2013-12-181-23/+75
* Fixed O(n^2) performance bug in verilog preprocessorClifford Wolf2013-11-221-1/+1
* Enable {* .. *} feature per default (removes dependency to REJECT feature in ...Clifford Wolf2013-11-221-11/+0
* Added support for include directories with the new '-I' argument of theJohann Glaser2013-08-201-2/+12
* added option '-Dname[=definition]' to command 'read_verilog'Johann Glaser2013-05-191-2/+2
* initial importClifford Wolf2013-01-051-0/+360