aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verific
Commit message (Collapse)AuthorAgeFilesLines
* Fix erroneous ifndef-NDEBUG in verific.ccClifford Wolf2019-08-171-3/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix various NDEBUG compiler warnings, closes #1255Clifford Wolf2019-08-131-0/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #1258 from YosysHQ/eddie/cleanupClifford Wolf2019-08-102-3/+3
|\ | | | | Cleanup a few barnacles across codebase
| * substr() -> compare()Eddie Hung2019-08-071-2/+2
| |
| * stoi -> atoiEddie Hung2019-08-071-1/+1
| |
| * Use std::stoi instead of atoi(<str>.c_str())Eddie Hung2019-08-061-1/+1
| |
| * Use State::S{0,1}Eddie Hung2019-08-061-1/+1
| |
* | Automatically prune init attributes in verific front-end, fixes #1237Clifford Wolf2019-08-072-7/+60
|/ | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Call "read_verilog" with -defer from "read"Clifford Wolf2019-07-291-1/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Only support Symbiotic EDA flavored VerificClifford Wolf2019-06-021-0/+8
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Enable Verific flag veri_elaborate_top_level_modules_having_interface_ports, ↵Clifford Wolf2019-05-301-0/+3
| | | | | | fixes #1055 Signed-off-by: Clifford Wolf <clifford@clifford.at>
* For hier_tree::Elaborate() also include SV root modules (bind)Eddie Hung2019-05-031-23/+36
|
* Fix verific_parameters construction, use attribute to mark top netlistsEddie Hung2019-05-032-8/+12
|
* WIP -chparam support for hierarchy when verificEddie Hung2019-05-032-12/+17
|
* verific_import() changes to avoid ElaborateAll()Eddie Hung2019-05-031-15/+38
|
* Add "read -verific" and "read -noverific"Clifford Wolf2019-03-271-6/+28
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix "verific -extnets" for more complex situationsClifford Wolf2019-03-261-15/+71
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #858 from YosysHQ/clifford/svalabelsClifford Wolf2019-03-091-1/+14
|\ | | | | Add support for using SVA labels in yosys-smtbmc console output
| * Add hack for handling SVA labels via VerificClifford Wolf2019-03-071-1/+14
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Update help message for -chparamEddie Hung2019-03-091-1/+2
| |
* | Add -chparam option to verific commandEddie Hung2019-03-091-2/+18
| |
* | Fix spellingEddie Hung2019-03-091-1/+1
|/
* Improve "read" error msgClifford Wolf2019-02-281-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Check if Verific was built with DB_PRESERVE_INITIAL_VALUEClifford Wolf2019-02-241-0/+4
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Remove -m32 Verific eval lib build instructionsClifford Wolf2019-01-041-29/+0
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Improve VerificImporter support for writes to asymmetric memoriesClifford Wolf2019-01-021-22/+35
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix VerificImporter asymmetric memories error messageClifford Wolf2019-01-021-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix typographical and grammatical errors and inconsistencies.whitequark2019-01-021-4/+4
| | | | | | | | | | | | The initial list of hits was generated with the codespell command below, and each hit was evaluated and fixed manually while taking context into consideration. DIRS="kernel/ frontends/ backends/ passes/ techlibs/" DIRS="${DIRS} libs/ezsat/ libs/subcircuit" codespell $DIRS -S *.o -L upto,iff,thru,synopsys,uint More hits were found by looking through comments and strings manually.
* Improve src tagging (using names and attrs) of cells and wires in verific ↵Clifford Wolf2018-12-182-99/+160
| | | | | | front-end Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Verific updatesClifford Wolf2018-12-061-53/+0
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Set Verific flag vhdl_support_variable_slice=1Clifford Wolf2018-11-091-0/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Improve Verific importer blackbox handlingClifford Wolf2018-10-071-2/+14
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix compiler warning in verific.ccClifford Wolf2018-10-051-0/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "verific -L <int>" optionClifford Wolf2018-09-043-2/+16
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "verific -work" help messageClifford Wolf2018-08-221-0/+7
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add Verific -work parameterClifford Wolf2018-08-221-8/+18
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "verific -set-<severity> <msg_id>.."Clifford Wolf2018-08-161-14/+52
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Verific workaround for VIPER ticket 13851Clifford Wolf2018-08-161-0/+3
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #591 from hzeller/virtual-overrideClifford Wolf2018-08-151-5/+5
|\ | | | | Consistent use of 'override' for virtual methods in derived classes.
| * Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-5/+5
| | | | | | | | | | | | | | | | | | o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established)
* | Verific: Produce errors for instantiating unknown moduleClifford Wolf2018-07-221-0/+3
|/ | | | | | | | Because if the unknown module is connected to any constants, Verific will actually break all constants in the same module, even if they have nothing to do structurally with that instance of an unknown module. Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix handling of eventually properties in verific importerClifford Wolf2018-07-171-2/+4
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix verific -vlog-incdir and -vlog-libdir handlingClifford Wolf2018-07-161-2/+13
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix "read -incdir"Clifford Wolf2018-07-161-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "read -incdir"Clifford Wolf2018-07-161-0/+19
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix verific eventually handlingClifford Wolf2018-06-291-6/+5
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add verific support for eventually propertiesClifford Wolf2018-06-291-5/+105
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "verific -formal" and "read -formal"Clifford Wolf2018-06-291-7/+15
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "read -sv -D" supportClifford Wolf2018-06-281-2/+25
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "read -undef"Clifford Wolf2018-06-281-0/+32
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>