aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verific
Commit message (Expand)AuthorAgeFilesLines
* Improve Verific importer blackbox handlingClifford Wolf2018-10-071-2/+14
* Fix compiler warning in verific.ccClifford Wolf2018-10-051-0/+2
* Add "verific -L <int>" optionClifford Wolf2018-09-043-2/+16
* Add "verific -work" help messageClifford Wolf2018-08-221-0/+7
* Add Verific -work parameterClifford Wolf2018-08-221-8/+18
* Add "verific -set-<severity> <msg_id>.."Clifford Wolf2018-08-161-14/+52
* Verific workaround for VIPER ticket 13851Clifford Wolf2018-08-161-0/+3
* Merge pull request #591 from hzeller/virtual-overrideClifford Wolf2018-08-151-5/+5
|\
| * Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-5/+5
* | Verific: Produce errors for instantiating unknown moduleClifford Wolf2018-07-221-0/+3
|/
* Fix handling of eventually properties in verific importerClifford Wolf2018-07-171-2/+4
* Fix verific -vlog-incdir and -vlog-libdir handlingClifford Wolf2018-07-161-2/+13
* Fix "read -incdir"Clifford Wolf2018-07-161-1/+1
* Add "read -incdir"Clifford Wolf2018-07-161-0/+19
* Fix verific eventually handlingClifford Wolf2018-06-291-6/+5
* Add verific support for eventually propertiesClifford Wolf2018-06-291-5/+105
* Add "verific -formal" and "read -formal"Clifford Wolf2018-06-291-7/+15
* Add "read -sv -D" supportClifford Wolf2018-06-281-2/+25
* Add "read -undef"Clifford Wolf2018-06-281-0/+32
* Add YOSYS_NOVERIFIC env variable for temporarily disabling verificClifford Wolf2018-06-221-22/+40
* Add simplified "read" command, enable extnets in implicit Verific importClifford Wolf2018-06-211-0/+84
* Add automatic verific import in hierarchy commandClifford Wolf2018-06-202-0/+56
* Add (* gclk *) attribute supportClifford Wolf2018-06-012-0/+11
* Add comment to VIPER #13453 work-aroundClifford Wolf2018-05-281-0/+1
* Fix Verific handling of single-bit anyseq/anyconst wiresClifford Wolf2018-05-251-2/+4
* Fix VerificClocking for cases where Verific generates chains of PRIM_SVA_POSEDGEClifford Wolf2018-05-241-1/+1
* Fix verific handling of anyconst/anyseq attributesClifford Wolf2018-05-242-16/+28
* Fix handling of anyconst/anyseq attrs in VHDL code via VerificClifford Wolf2018-05-151-6/+6
* Further improve handling of zero-length SVA consecutive repetitionClifford Wolf2018-05-051-69/+108
* Fix handling of zero-length SVA consecutive repetitionClifford Wolf2018-05-051-26/+46
* Add PRIM_HDL_ASSERTION support to Verific importerClifford Wolf2018-04-071-3/+19
* Fix handling of $global_clocking in VerificClifford Wolf2018-04-061-1/+7
* Add Verific anyseq/anyconst/allseq/allconst attribute supportClifford Wolf2018-04-061-2/+36
* Add "verific -autocover"Clifford Wolf2018-04-062-5/+17
* Set RAM runtime flags for Verific frontendmakaimann2018-04-051-0/+3
* Remove left-over log_ping debug commands.. oops.Clifford Wolf2018-03-311-4/+0
* Fix handling of unclocked immediate assertions in Verific front-endClifford Wolf2018-03-263-17/+42
* Update todo for more features to verificsva.ccClifford Wolf2018-03-161-3/+3
* Update todo for more features to verificsva.ccClifford Wolf2018-03-161-0/+1
* Add todo for more features to verificsva.ccClifford Wolf2018-03-161-8/+45
* Improve import of memories via VerificClifford Wolf2018-03-151-16/+23
* Fix handling of SV compilation units in Verific front-endClifford Wolf2018-03-141-28/+25
* Fix SVA handling of NON_CONSECUTIVE_REPEAT and GOTO_REPEATClifford Wolf2018-03-101-15/+72
* Fix variable name typo in verificsva.ccClifford Wolf2018-03-101-2/+2
* Add support for trivial SVA sequences and propertiesClifford Wolf2018-03-101-12/+102
* Use Verific hier_tree component for elaborationClifford Wolf2018-03-081-0/+54
* Fix Verific handling of "assert property (..);" in always blockClifford Wolf2018-03-073-14/+60
* Add "verific -import -V"Clifford Wolf2018-03-072-6/+18
* Set Verific db_preserve_user_nets flagClifford Wolf2018-03-071-0/+1
* Update comment about supported SVA in verificsva.ccClifford Wolf2018-03-061-51/+8