aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast/simplify.cc
Commit message (Expand)AuthorAgeFilesLines
* Add force_downto and force_upto wire attributes.Marcelina Koƛcielnicka2020-05-191-0/+19
* Merge pull request #2027 from YosysHQ/eddie/verilog_neg_uptoClaire Wolf2020-05-141-1/+1
|\
| * ast: swap range regardless of range_left >= 0Eddie Hung2020-05-041-1/+1
* | Merge pull request #2022 from Xiretza/fallthroughswhitequark2020-05-081-1/+1
|\ \
| * | Add YS_FALLTHROUGH macro to mark case fall-throughXiretza2020-05-071-1/+1
* | | Merge pull request #2005 from YosysHQ/claire/fix1990Claire Wolf2020-05-071-10/+52
|\ \ \ | |/ / |/| |
| * | Add AST_SELFSZ and improve handling of bit slicesClaire Wolf2020-05-021-4/+10
| * | Add "nowrshmsk" attribute, fix shift-and-mask bit slice write for signed offs...Claire Wolf2020-05-021-7/+43
| |/
* | Merge pull request #2025 from YosysHQ/eddie/frontend_cleanupEddie Hung2020-05-051-12/+12
|\ \
| * | frontend: cleanup to use more ID::*, more dict<> instead of map<>Eddie Hung2020-05-041-12/+12
| |/
* / verilog: set src attribute for primitivesEddie Hung2020-05-041-1/+3
|/
* Merge pull request #1851 from YosysHQ/claire/bitselwriteClaire Wolf2020-04-211-12/+70
|\
| * Make mask-and-shift the default for bitselwriteClaire Wolf2020-04-161-1/+1
| * Add LookaheadRewriter for proper bitselwrite supportClaire Wolf2020-04-161-1/+7
| * Improved rewrite code for writing to bit slice (disabled for now)Claire Wolf2020-04-151-12/+64
* | Extend support for format strings in Verilog front-endClaire Wolf2020-04-181-8/+38
* | ast: Fix handling of identifiers in the global scopeDavid Shah2020-04-161-2/+5
|/
* Merge pull request #1918 from whitequark/simplify-improve_enumwhitequark2020-04-151-5/+3
|\
| * ast/simplify: improve enum handling.whitequark2020-04-151-5/+3
* | Merge pull request #1879 from jjj11x/jjj11x/package_declwhitequark2020-04-141-0/+23
|\ \
| * | support using previously declared types/localparams/params in packageJeff Wang2020-04-071-0/+23
| |/
* / duplicated enum item names should result in an errorJeff Wang2020-04-071-2/+3
|/
* Merge pull request #1853 from YosysHQ/eddie/fix_dynsliceEddie Hung2020-04-021-1/+2
|\
| * ast: cap dynamic range select to size of signal, suppresses warningsEddie Hung2020-04-011-1/+2
* | kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-021-14/+14
* | kernel: use more ID::*Eddie Hung2020-04-021-2/+2
* | Merge pull request #1848 from YosysHQ/eddie/fix_dynsliceClaire Wolf2020-04-011-1/+1
|\|
| * ast: simplify to fully populate dynamic slicing case transformationEddie Hung2020-03-311-1/+1
* | Merge pull request #1607 from whitequark/simplify-simplify-meminitClaire Wolf2020-03-271-63/+82
|\ \
| * | ast: avoid intermediate wires/assigns when lowering to AST_MEMINIT.whitequark2020-02-071-65/+84
* | | Fix NDEBUG warningsEddie Hung2020-03-191-1/+1
* | | Add precise locations for assertshuaixv2020-03-191-0/+1
| |/ |/|
* | Merge pull request #1718 from boqwxp/precise_locationsClaire Wolf2020-03-031-132/+130
|\ \
| * | Closes #1717. Add more precise Verilog source location information to AST and...Alberto Gonzalez2020-02-231-132/+130
* | | Merge pull request #1681 from YosysHQ/eddie/fix1663Claire Wolf2020-03-031-15/+13
|\ \ \
| * | | verilog: instead of modifying localparam size, extend init constant exprEddie Hung2020-02-051-15/+13
| | |/ | |/|
* | | Comment out log()Eddie Hung2020-02-271-1/+1
| |/ |/|
* | Merge pull request #1642 from jjj11x/jjj11x/sv-enumClaire Wolf2020-02-201-11/+187
|\ \
| * | remove unnecessary blank lineJeff Wang2020-02-171-2/+1
| * | add attributes for enumerated values in ilangJeff Wang2020-02-171-1/+67
| * | separate out enum_item/param implementation when they should be differentJeff Wang2020-02-171-7/+16
| * | fix bug introduced by not taking all of PeterCrozier's changes in 16ea4ea6Jeff Wang2020-01-171-4/+6
| * | fix enum in generate blocksJeff Wang2020-01-161-0/+20
| * | allow enums to be declared at toplevel scopeJeff Wang2020-01-161-0/+7
| * | partial rebase of PeterCrozier's enum work onto current masterJeff Wang2020-01-161-11/+84
* | | Modified $readmem[hb] to use '\' or '/' according the OSRodrigo Alejandro Melo2020-02-061-1/+6
* | | Merge branch 'master' of https://github.com/YosysHQ/yosysRodrigo Alejandro Melo2020-02-031-93/+109
|\ \ \ | | |/ | |/|
| * | ast: Add support for $sformatf system functionDavid Shah2020-01-191-93/+109
| |/
* | Replaced strlen by GetSize into simplify.ccRodrigo Alejandro Melo2020-02-031-2/+2
* | Fixed a bug in the new feature of $readmem[hb] when an empty string is providedRodrigo Alejandro Melo2020-02-011-1/+1