aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast/ast.h
Commit message (Expand)AuthorAgeFilesLines
* Added $high(), $low(), $left(), $right()Udi Finkelstein2020-09-151-0/+1
* Fix generate scoping issuesZachary Snow2020-07-311-1/+1
* static cast: support changing size and signednessKazuki Sakamoto2020-06-191-0/+1
* Use C++11 final/override keywords.whitequark2020-06-181-5/+5
* Add latch detection for use_case_method in part-select write, fixes #2040Claire Wolf2020-06-041-0/+1
* Generalise structs and add support for packed unions.Peter Crozier2020-05-121-0/+1
* Implement SV structs.Peter Crozier2020-05-081-2/+5
* Add AST_SELFSZ and improve handling of bit slicesClaire Wolf2020-05-021-0/+1
* Add "nowrshmsk" attribute, fix shift-and-mask bit slice write for signed offs...Claire Wolf2020-05-021-0/+2
* Add LookaheadRewriter for proper bitselwrite supportClaire Wolf2020-04-161-0/+4
* kernel: more pass by const ref, more speedupsEddie Hung2020-03-181-4/+4
* Merge pull request #1718 from boqwxp/precise_locationsClaire Wolf2020-03-031-1/+8
|\
| * Closes #1717. Add more precise Verilog source location information to AST and...Alberto Gonzalez2020-02-231-1/+8
* | ast: quiet down when deriving blackbox modulesEddie Hung2020-02-271-1/+1
|/
* Merge pull request #1642 from jjj11x/jjj11x/sv-enumClaire Wolf2020-02-201-0/+7
|\
| * partial rebase of PeterCrozier's enum work onto current masterJeff Wang2020-01-161-0/+7
* | ast: Add support for $sformatf system functionDavid Shah2020-01-191-0/+1
|/
* Use "(id)" instead of "id" for types as temporary hackClifford Wolf2019-10-141-2/+5
|\
| * sv: Switch parser to glr, prep for typedefDavid Shah2019-10-031-2/+5
* | module->derive() to be lazy and not touch ast if already derivedEddie Hung2019-09-301-1/+1
|/
* Fix handling of read_verilog config in AstModule::reprocess_module(), fixes #...Clifford Wolf2019-09-201-0/+1
* Add "read_verilog -pwires" feature, closes #1106Clifford Wolf2019-06-191-3/+3
* Fixes and cleanups in AST_TECALL handlingClifford Wolf2019-06-071-1/+0
* Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into cliffo...Clifford Wolf2019-06-071-1/+3
|\
| * Initial implementation of elaboration system tasksUdi Finkelstein2019-05-031-1/+3
* | Merge branch 'master' into wandworStefan Biereigel2019-05-271-1/+3
|\ \
| * | Added support for unsized constants, fixes #1022Miodrag Milanovic2019-05-271-1/+3
| |/
* | remove leftovers from ast data structuresStefan Biereigel2019-05-271-1/+0
* | fix indentation across filesStefan Biereigel2019-05-231-1/+1
* | implementation for assignments workingStefan Biereigel2019-05-231-0/+1
* | make lexer/parser aware of wand/wor net typesStefan Biereigel2019-05-231-1/+1
|/
* New behavior for front-end handling of whiteboxesClifford Wolf2019-04-201-2/+2
* Add "whitebox" attribute, add "read_verilog -wb"Clifford Wolf2019-04-181-2/+2
* Improve read_verilog debug output capabilitiesClifford Wolf2019-03-211-1/+1
* Only run derive on blackbox modules when ports have dynamic sizeClifford Wolf2019-03-021-0/+1
* Use mem2reg on memories that only have constant-index write portsClifford Wolf2019-03-011-0/+2
* Refactor code to avoid code duplication + added commentsRuben Undheim2018-10-201-0/+5
* Support for 'modports' for System Verilog interfacesRuben Undheim2018-10-121-1/+3
* Synthesis support for SystemVerilog interfacesRuben Undheim2018-10-121-0/+6
* Added -no_dump_ptr flag for AST dump options in 'read_verilog'Udi Finkelstein2018-08-231-2/+2
* Merge pull request #591 from hzeller/virtual-overrideClifford Wolf2018-08-151-4/+4
|\
| * Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-4/+4
* | Merge pull request #513 from udif/pr_reg_wire_errorClifford Wolf2018-08-151-1/+1
|\ \ | |/ |/|
| * Modified errors into warningsUdi Finkelstein2018-06-051-1/+1
| * This PR should be the base for discussion, do not merge it yet!Udi Finkelstein2018-03-111-1/+1
* | Replace -ignore_redef with -[no]overwriteClifford Wolf2018-05-031-1/+1
|/
* Bugfix in hierarchy handling of blackbox module portsClifford Wolf2018-01-051-1/+1
* Turned a few member functions into const, esp. dumpAst(), dumpVlog().Udi Finkelstein2017-09-301-7/+7
* Add $live and $fair cell types, add support for s_eventually keywordClifford Wolf2017-02-251-0/+2
* Add $cover cell type and SVA cover() supportClifford Wolf2017-02-041-0/+1