aboutsummaryrefslogtreecommitdiffstats
path: root/backends/json
Commit message (Collapse)AuthorAgeFilesLines
* Merge pull request #3210 from rqou/json-signedMiodrag Milanović2022-03-071-0/+2
|\ | | | | json: Add help message for `signed` field
| * json: Add help message for `signed` fieldR2022-02-211-0/+2
| |
* | fix handling of escaped chars in json backend and frontendN. Engelhardt2022-02-181-1/+16
|/
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-081-1/+1
| | | | | | | | s/((Claire|Xen|Xenia|Clifford)\s+)+(Wolf|Xen)\s+<(claire|clifford)@(symbioticeda.com|clifford.at|yosyshq.com)>/Claire Xenia Wolf <claire@yosyshq.com>/gi; s/((Nina|Nak|N\.)\s+)+Engelhardt\s+<nak@(symbioticeda.com|yosyshq.com)>/N. Engelhardt <nak@yosyshq.com>/gi; s/((David)\s+)+Shah\s+<(dave|david)@(symbioticeda.com|yosyshq.com|ds0.me)>/David Shah <dave@ds0.me>/gi; s/((Miodrag)\s+)+Milanovic\s+<(miodrag|micko)@(symbioticeda.com|yosyshq.com)>/Miodrag Milanovic <micko@yosyshq.com>/gi; s,https?://www.clifford.at/yosys/,http://yosyshq.net/yosys/,g;
* json: Improve the "processes in module" message a bit.Marcelina Kościelnicka2021-03-231-1/+1
|
* json: Add support for memories.Marcelina Kościelnicka2021-03-151-0/+42
| | | | | | | | | | | | | | Previously, memories were silently discarded by the JSON backend, making round-tripping modules with them crash. Since there are already some users using JSON to implement custom external passes that use memories (and infer width/size from memory ports), let's fix this by just making JSON backend and frontend support memories as first-class objects. Processes are still not supported, and will now cause a hard error. Fixes #1908.
* Use C++11 final/override keywords.whitequark2020-06-181-4/+4
|
* Preserve 'signed'-ness of a verilog wire through RTLILVamsi K Vytla2020-04-271-0/+4
| | | | | | | | | As per suggestion made in https://github.com/YosysHQ/yosys/pull/1987, now: RTLIL::wire holds an is_signed field. This is exported in JSON backend This is exported via dump_rtlil command This is read in via ilang_parser
* write_json: dump default parameter valuesMarcelina Kościelnicka2020-04-211-0/+10
| | | | | | | | Fixes #1823. This will allow nextpnr to reuse the default value information already present in yosys cells_sim.v and avoid duplicating (and probably desyncing) this information.
* json: Update format documentation.Marcelina Kościelnicka2020-04-151-12/+32
| | | | Fixes #1693.
* json: Change compat mode to directly emit ints <= 32 bitsR. Ou2020-02-091-3/+3
| | | | | | This increases compatibility with certain older parsers in some cases that worked before commit 15fae357 but do not work with the current compat-int mode
* json: remove the 32-bit parameter special caseMarcin Kościelnicki2020-02-011-10/+28
| | | | | | | | | | | | | | | | | | | | | | | | | Before, the rules for encoding parameters in JSON were as follows: - if the parameter is not a string: - if it is exactly 32 bits long and there are no z or x bits, emit it as an int - otherwise, emit it as a string made of 0/1/x/z characters - if the parameter is a string: - if it contains only 0/1/x/z characters, append a space at the end to distinguish it from a non-string - otherwise, emit it directly However, this caused a problem in the json11 parser used in nextpnr: yosys emits unsigned ints, and nextpnr parses them as signed, using the value of INT_MIN for values that overflow the signed int range. This caused destruction of LUT5 initialization values. Since both nextpnr and yosys parser can also accept 32-bit parameters in the same encoding as other widths, let's just remove that special case. The old behavior is still left behind a `-compat-int` flag, in case someone relies on it.
* Implement improved JSON attr/param encodingClifford Wolf2019-08-011-13/+37
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix json formattingMiodrag Milanovic2019-06-211-1/+1
|
* Add upto and offset to JSON portsMiodrag Milanovic2019-06-211-0/+4
|
* Added JSON upto and offsetClifford Wolf2019-06-211-0/+4
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Support filename rewrite in backendsBen Widawsky2019-06-181-0/+1
| | | | Signed-off-by: Ben Widawsky <ben@bwidawsk.net>
* Merge pull request #591 from hzeller/virtual-overrideClifford Wolf2018-08-151-4/+4
|\ | | | | Consistent use of 'override' for virtual methods in derived classes.
| * Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-4/+4
| | | | | | | | | | | | | | | | | | o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established)
* | Fix use of signed integers in JSON back-endClifford Wolf2018-08-141-1/+3
|/ | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add attributes and parameter support to JSON front-endClifford Wolf2017-07-101-0/+2
|
* Improved write_json help messageClifford Wolf2016-12-291-0/+4
|
* write_json: also write module attributes.whitequark2016-07-121-2/+6
|
* Added "yosys -D" featureClifford Wolf2016-04-211-1/+1
|
* user-facing spelling fixesSebastian Kuzminsky2016-02-281-3/+3
| | | | | "speciefied" -> "specified" "unkown" -> "unknown"
* Another block of spelling fixesLarry Doolittle2015-08-141-1/+1
| | | | Smaller this time
* Fixed trailing whitespacesClifford Wolf2015-07-021-2/+2
|
* Improvements in cellaigs.cc and "json -aig"Clifford Wolf2015-06-111-6/+63
|
* AigMaker refactoringClifford Wolf2015-06-101-1/+1
|
* Added "json -aig"Clifford Wolf2015-06-101-9/+63
|
* Added "port_directions" to write_json outputClifford Wolf2015-04-061-0/+20
|
* Documentation for JSON format, added attributesClifford Wolf2015-03-061-16/+156
|
* Json bugfixClifford Wolf2015-03-031-1/+1
|
* Json backend improvementsClifford Wolf2015-03-031-4/+12
|
* Added JSON backendClifford Wolf2015-03-022-0/+262