aboutsummaryrefslogtreecommitdiffstats
path: root/backends/btor
Commit message (Collapse)AuthorAgeFilesLines
* Use State::S{0,1}Eddie Hung2019-08-061-2/+2
|
* Add $_NMUX_, add "abc -g cmos", add proper cmos cell costsClifford Wolf2019-08-061-1/+7
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Improve BTOR2 handling of undriven wiresClifford Wolf2019-06-261-3/+27
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add proper error message for btor recursion_guardClifford Wolf2019-05-241-1/+7
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Change "ne" to "neq" in btor2 outputClifford Wolf2019-04-191-1/+1
| | | | | | | we need to do this because they changed the parser: https://github.com/Boolector/btor2tools/commit/e97fc9cedabadeec4f621de22096e514f862c690 Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add support for memory initialization to write_btorClifford Wolf2019-03-231-0/+53
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix BTOR output tags syntax in writye_btorClifford Wolf2019-03-231-2/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Minor style fixesClifford Wolf2018-12-182-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add btor ops for $mul, $div, $mod and $concatmakaimann2018-12-172-2/+38
|
* Fix btor init value handlingClifford Wolf2018-12-081-9/+13
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-2/+2
| | | | | | | | | o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established)
* Add "no driver for signal bit" error msg to btor back-endClifford Wolf2017-12-241-0/+2
|
* Simple fix BTOR memory encodingClifford Wolf2017-12-171-2/+2
|
* Improve BTOR memory encodingClifford Wolf2017-12-171-2/+16
|
* Add array support to btor back-endClifford Wolf2017-12-151-6/+169
|
* Add $anyconst/$anyseq support to btor back-endClifford Wolf2017-12-151-13/+51
|
* Add "write_btor -s" modeClifford Wolf2017-12-131-6/+50
|
* Add state initval handling to btor back-endClifford Wolf2017-12-121-0/+25
|
* Add btor back-end support for 'x' constantsClifford Wolf2017-12-121-1/+54
|
* Add btor $shift/$shiftx supportClifford Wolf2017-12-112-7/+37
|
* Fix btor back-end shift handlingClifford Wolf2017-12-102-5/+7
|
* Add support for $pmux in btor back-endClifford Wolf2017-12-101-0/+23
|
* Add support for more cell types to btor back-endClifford Wolf2017-12-102-6/+245
|
* Fix btor concatClifford Wolf2017-12-091-1/+1
|
* Bugfixes in new BTOR back-endClifford Wolf2017-11-241-2/+3
|
* Progress in new BTOR back-endClifford Wolf2017-11-231-36/+97
|
* Progress in new BTOR back-endClifford Wolf2017-11-231-3/+95
|
* Progress in new BTOR back-endClifford Wolf2017-11-231-14/+72
|
* Progress with new BTOR backendClifford Wolf2017-11-231-8/+109
|
* Add skeleton for new BTOR back-endClifford Wolf2017-11-232-0/+216
|
* Remove old BTOR back-endClifford Wolf2017-11-234-1174/+0
|
* Added "yosys -D" featureClifford Wolf2016-04-211-1/+1
|
* Renamed opt_const to opt_exprClifford Wolf2016-03-311-1/+1
|
* Added "int ceil_log2(int)" functionClifford Wolf2016-02-131-8/+8
|
* Another block of spelling fixesLarry Doolittle2015-08-141-1/+1
| | | | Smaller this time
* Spell check (by Larry Doolittle)Clifford Wolf2015-08-141-2/+2
|
* Remove some very strange whitespace in btor.cc (by Larry Doolittle)Clifford Wolf2015-08-051-7/+7
|
* Fixed trailing whitespacesClifford Wolf2015-07-023-89/+89
|
* Fixed cstr_buf for std::string with small string optimizationClifford Wolf2015-06-111-1/+1
|
* Removed "techmap -share_map" (use "-map +/filename" instead)Clifford Wolf2015-04-081-1/+1
|
* Update READMEAhmed Irfan2015-04-031-1/+1
| | | corrected url
* Delete btor.ysAhmed Irfan2015-04-031-18/+0
| | | .ys script not needed
* Update READMEAhmed Irfan2015-04-031-1/+1
| | | pmux cell is implemented
* separated memory next from write cellAhmed Irfan2015-04-031-7/+55
|
* Added ENABLE_NDEBUG makefile optionsClifford Wolf2015-01-241-2/+2
|
* namespace YosysClifford Wolf2014-09-271-0/+4
|
* Merge branch 'master' of https://github.com/cliffordwolf/yosys into btorAhmed Irfan2014-09-222-199/+199
|\ | | | | | | | | | | | | | | | | added case for memwr cell that is used in muxes (same cell is used more than one time) corrected bug for xnor and logic_not added pmux cell translation Conflicts: backends/btor/btor.cc
| * Using std::vector<RTLIL::State> instead of RTLIL::Const for ↵Clifford Wolf2014-09-011-1/+2
| | | | | | | | RTLIL::SigChunk::data
| * Changed backend-api from FILE to std::ostreamClifford Wolf2014-08-231-65/+65
| |
| * No implicit conversion from IdString to anything elseClifford Wolf2014-08-021-1/+1
| |