aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile
Commit message (Expand)AuthorAgeFilesLines
* actually run the gowin testsPepijn de Vos2019-10-281-0/+1
* Makefile: don't assume python is called `python3`Sean Cross2019-10-191-1/+1
* Moved all tests in arch sub directoryMiodrag Milanovic2019-10-181-5/+5
* Merge branch 'master' into mmicko/efinixMiodrag Milanović2019-10-181-0/+4
|\
| * Merge branch 'master' into mmicko/anlogicMiodrag Milanović2019-10-181-0/+3
| |\
| | * Merge branch 'master' into eddie/pr1352Miodrag Milanović2019-10-181-2/+4
| | |\
| | | * Remove xilinx_ug901 tests (will be moved to yosys-tests)SergeyDegtyar2019-10-171-1/+0
| | | * Add smoke tests to tests/xilinxSergeyDegtyar2019-10-171-0/+1
| | | * Add tests for Xilinx UG901 examplesSergeyDegtyar2019-10-171-0/+1
| | | * Use "(id)" instead of "id" for types as temporary hackClifford Wolf2019-10-141-0/+1
| | | |\
| | | | * sv: Add test scripts for typedefsDavid Shah2019-10-031-0/+1
| | * | | Merge branch 'SergeyDegtyar/ecp5' of https://github.com/SergeyDegtyar/yosys i...Eddie Hung2019-09-301-0/+1
| | |\ \ \ | | | |_|/ | | |/| |
| | | * | Add tests for ECP5 architectureSergeyDegtyar2019-09-031-0/+1
| * | | | Merge branch 'SergeyDegtyar/anlogic' of https://github.com/SergeyDegtyar/yosy...Miodrag Milanovic2019-10-041-0/+1
| |\ \ \ \ | | |_|_|/ | |/| | |
| | * | | Merge branch 'master' into SergeyDegtyar/anlogicSergey2019-10-011-4/+8
| | |\| |
| | * | | Add new tests for Anlogic architectureSergeyDegtyar2019-09-231-0/+1
| | | |/ | | |/|
* | | | Merge branch 'SergeyDegtyar/efinix' of https://github.com/SergeyDegtyar/yosys...Miodrag Milanovic2019-10-041-0/+1
|\ \ \ \ | |/ / / |/| | |
| * | | Merge branch 'master' into SergeyDegtyar/efinixSergey2019-10-011-4/+8
| |\ \ \ | | | |/ | | |/|
| * | | Add new tests for Efinix architecture.SergeyDegtyar2019-09-231-0/+1
| | |/ | |/|
* | | Update ABC to git rev 623b5e8Clifford Wolf2019-10-031-1/+1
* | | Bump versionClifford Wolf2019-10-031-1/+1
| |/ |/|
* | Merge pull request #1406 from whitequark/connect_rpcwhitequark2019-09-301-0/+4
|\ \
| * | rpc: new frontend.whitequark2019-09-301-0/+1
| * | libs: import json11.whitequark2019-09-301-0/+3
* | | Bump versionClifford Wolf2019-09-301-1/+1
|/ /
* | Bump versionClifford Wolf2019-09-161-1/+1
* | Bump versionClifford Wolf2019-09-101-1/+1
* | Bump versionClifford Wolf2019-09-051-1/+1
* | Use $(shell :; ...) in Makefile to force shellEmily2019-09-051-3/+3
* | Replace `which` with `command -v` in Makefile tooEmily2019-09-041-3/+3
|/
* Merge pull request #2 from YosysHQ/masterSergey2019-08-291-1/+1
|\
| * Bump YOSYS_VERClifford Wolf2019-08-291-1/+1
* | Merge remote-tracking branch 'origin/clifford/async2synclatch' into Sergey/te...Eddie Hung2019-08-281-1/+11
|\|
| * Add "make bumpversion"Clifford Wolf2019-08-271-0/+3
| * Merge tag 'yosys-0.9'Clifford Wolf2019-08-261-1/+1
| |\
| | * Yosys 0.9Clifford Wolf2019-08-261-1/+1
| | * do not require boost if pyosys is not usedMiodrag Milanovic2019-08-221-0/+2
| | * Fix linking issue for new mxe and pthreadMiodrag Milanovic2019-08-021-1/+2
| | * Fix yosys linking for mxeMiodrag Milanovic2019-08-021-1/+1
| | * Fix formatting for msys2 mingw build using GetSizeMiodrag Milanovic2019-08-021-0/+2
| | * Merge pull request #1146 from gsomlo/gls-test-abc-extClifford Wolf2019-07-091-2/+8
| | * Checkout yosys-0.9-rc branch of yosys-testsEddie Hung2019-07-021-1/+1
| * | do not require boost if pyosys is not usedMiodrag Milanovic2019-08-221-0/+2
| * | Merge branch 'master' into clifford/pmgenClifford Wolf2019-08-201-0/+1
| |\ \
| * \ \ Merge branch 'master' of github.com:YosysHQ/yosys into clifford/pmgenClifford Wolf2019-08-191-1/+2
| |\ \ \
| * | | | Improvements in pmgen for recursive patternsClifford Wolf2019-08-151-0/+5
* | | | | Revert "Add tests for ecp5"SergeyDegtyar2019-08-281-1/+0
* | | | | Add tests for ecp5SergeyDegtyar2019-08-281-0/+1
* | | | | Revert "Add tests for ecp5 architecture."SergeyDegtyar2019-08-271-1/+0
* | | | | Add tests for ecp5 architecture.SergeyDegtyar2019-08-271-0/+1