aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile
Commit message (Collapse)AuthorAgeFilesLines
* Setup tests/verilog properlyEddie Hung2020-05-111-0/+1
|
* Remove yosys libdir from LDFLAGS (and fix a typo)N. Engelhardt2020-05-071-2/+1
|
* Makefile: git fetch all commits from $(ABCURL) repoEddie Hung2020-05-061-1/+1
|
* Update ABC to include WASI support fixes.whitequark2020-05-021-1/+1
|
* Fix WASI builds with abc enabled.whitequark2020-05-011-2/+2
| | | | This PR works around #2011.
* Merge pull request #2001 from whitequark/wasiwhitequark2020-05-011-1/+47
|\ | | | | Add WASI platform support
| * Add WASI platform support.whitequark2020-04-301-2/+48
| | | | | | | | | | | | | | | | | | | | | | | | This includes the following significant changes: * Patching ezsat and minisat to disable resource limiting code on WASM/WASI, since the POSIX functions they use are unavailable. * Adding a new definition, YOSYS_DISABLE_SPAWN, present if platform does not support spawning subprocesses (i.e. Emscripten or WASI). This definition hides the definition of `run_command()`. * Adding a new Makefile flag, DISABLE_SPAWN, present in the same condition. This flag disables all passes that require spawning subprocesses for their function.
* | Merge pull request #1997 from whitequark/document-ootbClaire Wolf2020-05-011-11/+11
|\ \ | |/ |/| Explain how to do out-of-tree builds in README
| * Fix out-of-tree builds configured as `SMALL := 1`.whitequark2020-04-241-11/+11
| |
* | abc: use YosysHQ/abc instead of upstream berkeley-abc/abcEddie Hung2020-04-271-2/+2
|/ | | | Enabling modifications
* Merge pull request #1900 from Xiretza/suppress-makefile-echowhitequark2020-04-161-0/+3
|\ | | | | Suppress output of Makefile.conf when printing source versions
| * Suppress output of Makefile.conf when printing source versionsXiretza2020-04-111-0/+3
| | | | | | | | | | | | | | | | The make targets echo-yosys-ver, echo-git-ver and echo-abc-rev can be used to programmatically extract contents of make variables for external scripts. Unfortunately, when a Makefile.conf exists, its contents would also be echoed, making the output almost unusable. This patch selectively disables this functionality for these special targets.
* | synth_intel_alm: alternative synthesis for Intel FPGAsDan Ravensloft2020-04-151-0/+1
|/ | | | | | | | By operating at a layer of abstraction over the rather clumsy Intel primitives, we can avoid special hacks like `dffinit -highlow` in favour of simple techmapping. This also makes the primitives much easier to manipulate, and more descriptive (no more cyclonev_lcell_comb to mean anything from a LUT2 to a LUT6).
* Keep libyosys name same as befire, but put it in directoryMiodrag Milanovic2020-04-101-11/+11
|
* Support custom PROGRAM_PREFIXMiodrag Milanovic2020-04-101-43/+44
|
* write_cxxrtl: new backend.whitequark2020-04-091-0/+1
| | | | | This commit adds a basic implementation that isn't very performant but implements most of the planned features.
* Add constids.inc to final installXiretza2020-04-081-0/+1
| | | | | If this is not present in the install, #include-ing most yosys headers will fail in rtlil.h:380.
* Merge pull request #1814 from YosysHQ/mmicko/pyosys_makefileClaire Wolf2020-04-071-0/+4
|\ | | | | Enable ENABLE_LIBYOSYS when ENABLE_PYOSYS is set (closes #1813)
| * Enable ENABLE_LIBYOSYS when ENABLE_PYOSYS is setMiodrag Milanovic2020-03-251-0/+4
| |
* | Bump YOSYS_VERClaire Wolf2020-04-021-1/+1
|/ | | | Signed-off-by: Claire Wolf <claire@symbioticeda.com>
* Add tests for `select` command warnings.Alberto Gonzalez2020-03-231-0/+1
|
* Improve ABC repository management in MakefileXiretza2020-03-121-1/+5
| | | | | | | | | | `rev-parse --short` output may have a different abbreviated hash length than ABCREV, so a simple string comparison always fails, even if the correct commit is checked out. Pass both commits through rev-parse and then compare the full hashes instead. Add an `echo-abc-rev` target so that packaging scripts can set ABCPULL=0 and handle all the git nastiness themselves.
* Added filter-out for libyosys.soMiodrag Milanovic2020-03-121-1/+1
|
* Revert "Clean up 'install' Makefile target"Miodrag Milanovic2020-03-121-4/+7
| | | | This reverts commit 2a746234fec2f6d14e9bfa40fd7f3478cdd539ea.
* Revert "Improve ABC repository management in Makefile"Miodrag Milanovic2020-03-121-5/+1
| | | | This reverts commit 90404e1969443a1b8a767ab8f3dc311709c5fe9d.
* Merge pull request #1666 from Xiretza/improve-makefileMiodrag Milanović2020-03-121-8/+9
|\ | | | | Makefile improvements for packaging scripts
| * Improve ABC repository management in MakefileXiretza2020-01-291-1/+5
| | | | | | | | | | | | | | | | | | | | `rev-parse --short` output may have a different abbreviated hash length than ABCREV, so a simple string comparison always fails, even if the correct commit is checked out. Pass both commits through rev-parse and then compare the full hashes instead. Add an `echo-abc-rev` target so that packaging scripts can set ABCPULL=0 and handle all the git nastiness themselves.
| * Clean up 'install' Makefile targetXiretza2020-01-291-7/+4
| | | | | | | | | | | | - libyosys.so is now only installed to LIBDIR instead of LIBDIR, BINDIR and PYTHON_DESTDIR - replace mkdir/cp for single files with `install`
* | Add mandatory wasm file to zip file as wellMiodrag Milanovic2020-03-121-2/+2
| |
* | Add EXTRA_EXPORTED_RUNTIME_METHODS env for yosysjsjiegec2020-03-111-0/+1
| |
* | Fix compilation for emccjiegec2020-03-111-1/+2
| |
* | Bump ABCREV to receive fix for #1675Eddie Hung2020-03-061-1/+1
| |
* | Added tests/memfile to 'make test' with an extra testcaseRodrigo Alejandro Melo2020-02-011-0/+1
|/ | | | Signed-off-by: Rodrigo Alejandro Melo <rodrigomelo9@gmail.com>
* Merge remote-tracking branch 'origin/master' into eddie/abc9_mfsEddie Hung2020-01-111-1/+1
|\
| * Bump versionClifford Wolf2020-01-091-1/+1
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Bump ABCREV for upstream fixEddie Hung2020-01-111-1/+1
|/
* Bump ABCREV for upstream fixEddie Hung2020-01-071-1/+1
|
* Bump ABCREV for upstream fixEddie Hung2020-01-061-1/+1
|
* Fix linking with Python 3.8Graham Edgecombe2019-12-201-0/+7
| | | | | | | | | | | | | | | | | | | | | | | | | | | The behaviour of python-config --libs has changed in Python 3.8. For example, compare the output of it with Python 3.7 and 3.8 on an ArchLinux system: $ python3.7-config --libs -lpython3.7m -lcrypt -lpthread -ldl -lutil -lm $ python3.8-config --libs -lcrypt -lpthread -ldl -lutil -lm -lm $ The lack of -lpython in the latter case causes the linker to fail when attempting to build Yosys against Python 3.8. Passing the new --embed flag to python-config adds -lpython, just like earlier versions of Python: $ python3.8-config --embed --libs -lpython3.8 -lcrypt -lpthread -ldl -lutil -lm -lm $ This commit adds code for automatically detecting support for the --embed flag. If it is supported, it is passed to all python-config invocations. This fixes building against Python 3.8.
* Add PYTHON_CONFIG variable to the MakefileGraham Edgecombe2019-12-201-17/+18
|
* actually run the gowin testsPepijn de Vos2019-10-281-0/+1
|
* Makefile: don't assume python is called `python3`Sean Cross2019-10-191-1/+1
| | | | | | | | | | | | | | | | On some architectures, notably on Windows, the official name for the Python binary from python.org is `python`. The build system assumes that python is called `python3`, which breaks under this architecture. There is already infrastructure in place to determine the name of the Python binary when building PYOSYS. Since Python is now always required to build Yosys, enable this check universally which sets the `PYTHON_EXECUTABLE` variable. Then, reuse this variable in other Makefiles as necessary, rather than hardcoding `python3` everywhere. Signed-off-by: Sean Cross <sean@xobs.io>
* Moved all tests in arch sub directoryMiodrag Milanovic2019-10-181-5/+5
|
* Merge branch 'master' into mmicko/efinixMiodrag Milanović2019-10-181-0/+4
|\
| * Merge branch 'master' into mmicko/anlogicMiodrag Milanović2019-10-181-0/+3
| |\
| | * Merge branch 'master' into eddie/pr1352Miodrag Milanović2019-10-181-2/+4
| | |\
| | | * Remove xilinx_ug901 tests (will be moved to yosys-tests)SergeyDegtyar2019-10-171-1/+0
| | | |
| | | * Add smoke tests to tests/xilinxSergeyDegtyar2019-10-171-0/+1
| | | |
| | | * Add tests for Xilinx UG901 examplesSergeyDegtyar2019-10-171-0/+1
| | | |
| | | * Use "(id)" instead of "id" for types as temporary hackClifford Wolf2019-10-141-0/+1
| | | |\ | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>