aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
...
| * | Build Verilog parser with -DYYMAXDEPTH=100000, fixes #906Clifford Wolf2019-03-291-0/+2
|/ / | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Merge pull request #901 from trcwm/libertyfixesClifford Wolf2019-03-284-9/+151
|\ \ | | | | | | Libertyfixes: accept superfluous ; at end of group.
| * | Liberty file parser now accepts superfluous ;Niels Moseley2019-03-271-1/+1
| | |
| * | Liberty file parser now accepts superfluous ;Niels Moseley2019-03-271-1/+1
| | |
| * | Liberty file parser now accepts superfluous ;Niels Moseley2019-03-274-9/+151
| | |
* | | Merge pull request #903 from YosysHQ/bram_reset_transpClifford Wolf2019-03-281-0/+1
|\ \ \ | |/ / |/| | memory_bram: Reset make_transp when growing read ports
| * | memory_bram: Reset make_transp when growing read portsDavid Shah2019-03-271-0/+1
| | | | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | | Add "read -verific" and "read -noverific"Clifford Wolf2019-03-271-6/+28
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Add "rename -output"Clifford Wolf2019-03-271-3/+23
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Improve "rename" help messageClifford Wolf2019-03-271-0/+6
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Add "cutpoint -undef"Clifford Wolf2019-03-261-10/+14
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Add "hdlname" attributeClifford Wolf2019-03-262-0/+5
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Fix "verific -extnets" for more complex situationsClifford Wolf2019-03-262-15/+93
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Add "cutpoint" passClifford Wolf2019-03-252-0/+165
|/ / | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Merge pull request #896 from YosysHQ/transp_fixesClifford Wolf2019-03-251-9/+16
|\ \ | | | | | | memory_bram: Fix multiclock make_transp
| * | memory_bram: Fix multiclock make_transpDavid Shah2019-03-241-9/+16
| |/ | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | Merge pull request #897 from trcwm/libertyfixesClifford Wolf2019-03-258-22/+645
|\ \ | |/ |/| Liberty parser: Accept ranges [A:B], and ignore missing ';'.
| * spaces -> tabsNiels Moseley2019-03-251-78/+78
| |
| * EOL is now accepted as ';' replacement on lines that look like: ↵Niels Moseley2019-03-251-4/+3
| | | | | | | | feature_xyz(option)
| * Updated the liberty parser to accept [A:B] ranges (AST has not been ↵Niels Moseley2019-03-248-7/+631
|/ | | | updated). Liberty parser now also accepts key : value pair lines that do not end in ';'.
* Add "mutate -none -mode", "mutate -mode none"Clifford Wolf2019-03-231-1/+30
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add "mutate -s <filename>"Clifford Wolf2019-03-231-2/+24
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #893 from YosysHQ/clifford/btormeminitClifford Wolf2019-03-233-3/+63
|\ | | | | Memory init support in write_btor
| * Add support for memory initialization to write_btorClifford Wolf2019-03-231-0/+53
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * Fix BTOR output tags syntax in writye_btorClifford Wolf2019-03-231-2/+1
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * Add RTLIL::Const::ext[su](), fix RTLIL::SigSpec::extend_u0 for 0-size signalsClifford Wolf2019-03-232-1/+9
|/ | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #889 from YosysHQ/clifford/fix888Clifford Wolf2019-03-221-1/+10
|\ | | | | Fix mem2reg handling of memories with upto data ports
| * Fix mem2reg handling of memories with upto data ports, fixes #888Clifford Wolf2019-03-211-1/+10
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Merge pull request #890 from YosysHQ/clifford/fix887Clifford Wolf2019-03-221-1/+26
|\ \ | | | | | | Trim init attributes when resizing FFs in "wreduce"
| * | Trim init attributes when resizing FFs in "wreduce", fixes #887Clifford Wolf2019-03-221-1/+26
| |/ | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Merge pull request #891 from YosysHQ/xilinx_keepDavid Shah2019-03-222-25/+31
|\ \ | |/ |/| xilinx: Add keep attribute where appropriate
| * xilinx: Add keep attribute where appropriateDavid Shah2019-03-222-25/+31
|/ | | | Signed-off-by: David Shah <dave@ds0.me>
* Improve "read_verilog -dump_vlog[12]" handling of upto rangesClifford Wolf2019-03-211-3/+6
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Improve read_verilog debug output capabilitiesClifford Wolf2019-03-213-15/+42
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #885 from YosysHQ/clifford/fix873Clifford Wolf2019-03-191-2/+4
|\ | | | | Add Xilinx negedge FFs to synth_xilinx dffinit call, fixes #873
| * Add Xilinx negedge FFs to synth_xilinx dffinit call, fixes #873Clifford Wolf2019-03-191-2/+4
|/ | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Merge pull request #808 from eddiehung/read_aigerEddie Hung2019-03-1935-6/+632
|\ | | | | Add new read_aiger frontend
| * Merge https://github.com/YosysHQ/yosys into read_aigerEddie Hung2019-03-19113-792/+6364
| |\ | |/ |/|
* | Merge pull request #884 from zachjs/masterClifford Wolf2019-03-192-1/+61
|\ \ | | | | | | fix local name resolution in prefix constructs
| * | fix local name resolution in prefix constructsZachary Snow2019-03-182-1/+61
|/ /
* | Update issue templateClifford Wolf2019-03-171-5/+5
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Update issue templateClifford Wolf2019-03-171-0/+8
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Merge pull request #877 from FelixVi/masterClifford Wolf2019-03-161-1/+4
|\ \ | | | | | | Add note about test requirements in README
| * | Add note about test requirements in READMEFelix Vietmeyer2019-03-161-1/+4
|/ /
* | Improve mix of src/wire/wirebit coverage in "mutate -list"Clifford Wolf2019-03-161-29/+84
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Merge pull request #876 from YosysHQ/clifford/fmcombineClifford Wolf2019-03-164-17/+374
|\ \ | | | | | | Add fmcombine pass
| * | Add "fmcombine -fwd -bwd -nop"Clifford Wolf2019-03-151-10/+59
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Add fmcombine passClifford Wolf2019-03-154-17/+325
|/ / | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Merge pull request #875 from YosysHQ/clifford/mutateClifford Wolf2019-03-154-5/+862
|\ \ | | | | | | Add "mutate" pass
| * | Improvements in "mutate" list-reduce algorithmClifford Wolf2019-03-151-13/+36
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>