aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
...
| * | Allow defining input ports as "input logic" in SystemVerilogRuben Undheim2016-06-201-2/+2
|/ /
* | Bugfix in "abc -script" handlingClifford Wolf2016-06-191-53/+50
* | Merge branch 'sv_packages' of https://github.com/rubund/yosysClifford Wolf2016-06-197-1/+52
|\ \
| * | A few modifications after pull request commentsRuben Undheim2016-06-183-5/+4
| * | Added support for SystemVerilog packages with localparam definitionsRuben Undheim2016-06-187-1/+53
* | | Added "deminout"Clifford Wolf2016-06-193-0/+118
* | | Added "read_blif -sop"Clifford Wolf2016-06-181-5/+10
* | | Added $sop support to BLIF back-endClifford Wolf2016-06-181-2/+29
|/ /
* | Added "dc2" to default ABC scriptsClifford Wolf2016-06-171-5/+5
* | Fixed init issue in mem2reg_test2 test caseClifford Wolf2016-06-171-2/+6
* | Added "abc -I <num> -P <num>"Clifford Wolf2016-06-171-8/+33
* | Added $sop SAT modelClifford Wolf2016-06-171-0/+82
* | Improved support for $sop cellsClifford Wolf2016-06-176-10/+89
* | Added $sop cell type and "abc -sop"Clifford Wolf2016-06-177-31/+171
* | Updated ABC to hg rev b5df6e2b76f0Clifford Wolf2016-06-172-10/+10
* | Added "nlutmap -assert"Clifford Wolf2016-06-092-3/+17
* | Do not run "wreduce" in "prep -ifx"Clifford Wolf2016-06-081-2/+3
* | Added "proc_mux -ifx"Clifford Wolf2016-06-063-21/+54
* | Added "setundef -init"Clifford Wolf2016-06-031-5/+89
* | Fix all undef-muxes in dlatch input coneClifford Wolf2016-06-021-34/+72
* | Avoid creating undef-muxes when inferring latches in proc_dlatchClifford Wolf2016-06-011-0/+44
* | Added opt_expr support for div/mod by power-of-twoClifford Wolf2016-05-292-0/+96
* | Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}Clifford Wolf2016-05-271-0/+11
* | Fixed access-after-delete bug in mem2reg codeClifford Wolf2016-05-272-6/+23
* | fixed typos in error messagesClifford Wolf2016-05-271-3/+3
* | Fixed "scc" for cells that have feedback singals _and_ are part of a larger loopClifford Wolf2016-05-271-3/+3
* | Merge pull request #172 from zeldin/deterministic_hierarchyClifford Wolf2016-05-221-3/+3
|\ \
| * | Made the expansion order of hierarchy deterministicMarcus Comstedt2016-05-221-3/+3
|/ /
* | Some fixes in tests/asicworld/*_tb.vClifford Wolf2016-05-204-50/+41
* | Improvements and fixes in autotest.sh script and test_autotbClifford Wolf2016-05-202-9/+9
* | Merge branch 'master' of https://github.com/Kmanfi/yosysClifford Wolf2016-05-202-11/+18
|\ \
| * | Close opened dump file.Kaj Tuomi2016-05-191-0/+1
| * | Fix for Modelsim transcript line warp issue #164Kaj Tuomi2016-05-192-11/+17
* | | Also escape "=" in spice outputClifford Wolf2016-05-201-1/+1
* | | Small improvements in Verilog front-end docsClifford Wolf2016-05-202-0/+8
|/ /
* | Don't sign-extend memory bram initialization dataClifford Wolf2016-05-151-1/+1
* | Added missing "#define HASHLIB_H"Clifford Wolf2016-05-141-0/+1
* | Minor presentation fixesClifford Wolf2016-05-141-1/+1
* | Updated min GCC requirement to GCC 4.8Clifford Wolf2016-05-112-14/+14
* | Added manual download link to READMEClifford Wolf2016-05-091-0/+4
* | Include <cmath> in yosys.hClifford Wolf2016-05-082-9/+1
* | Merge pull request #162 from azonenberg/masterClifford Wolf2016-05-081-2/+33
|\ \
| * | Added GP_DELAY cellAndrew Zonenberg2016-05-071-0/+29
| * | Fixed typo in port nameAndrew Zonenberg2016-05-071-1/+1
| * | Fixed extra semicolonAndrew Zonenberg2016-05-071-1/+1
| * | Fixed typo in parameter nameAndrew Zonenberg2016-05-071-1/+1
| * | Added simulation timescale declarationAndrew Zonenberg2016-05-071-0/+2
|/ /
* | Fixes for MXE buildClifford Wolf2016-05-073-10/+10
* | Added support for "keep" attribute to shregmapClifford Wolf2016-05-071-2/+2
* | Added synth_ice40 support for latches via logic loopsClifford Wolf2016-05-063-0/+13