aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
...
* | pass metadata: removed superfluous `stringf` callsAki Van Ness2022-04-081-37/+40
| |
* | pass metadata: some more rough work on dumping the parameters and attributesAki Van Ness2022-04-081-6/+6
| |
* | pass metadata: fixed the MetadataWriter object initializer so GCC 4.8 is happyAki Van Ness2022-04-081-1/+1
| |
* | pass metadata: added the output of parameters,Aki Van Ness2022-04-081-7/+35
| | | | | | | | it's kinda dumb at the moment and needs parsing based on type but it's a start
* | pass metadata: fixed some of the output formattingAki Van Ness2022-04-081-0/+3
| |
* | pass metadata: initial commit of the metadata pass for exporting design ↵Aki Van Ness2022-04-082-0/+277
|/ | | | metadata for yosys assisted tooling
* Bump versiongithub-actions[bot]2022-04-081-1/+1
|
* Merge pull request #3269 from YosysHQ/micko/fix_autotopCatherine2022-04-071-13/+13
|\ | | | | Reorder steps in -auto-top to fix synth command, fixes #3261
| * Reorder steps in -auto-top to fix synth command, fixes #3261Miodrag Milanovic2022-04-051-13/+13
| |
* | abc: Add support for FFs with reset in -dffMarcelina Kościelnicka2022-04-071-90/+229
| |
* | Bump versiongithub-actions[bot]2022-04-061-1/+1
| |
* | sv: fix always_comb auto nosync for nested and function blocksZachary Snow2022-04-054-1/+45
|/
* Next dev cycleMiodrag Milanovic2022-04-052-2/+5
|
* Release version 0.16Miodrag Milanovic2022-04-052-3/+3
|
* Bump versiongithub-actions[bot]2022-04-051-1/+1
|
* show: Fix width labels.Marcelina Kościelnicka2022-04-041-23/+18
| | | | See #3266.
* Update CHANGELOG and manualMiodrag Milanovic2022-04-042-2/+63
|
* Merge pull request #3265 from YosysHQ/micko/sim_improvementsMiodrag Milanović2022-04-041-4/+11
|\ | | | | Improve sim by setting proper past D and AD signals
| * past_ad initial value settingMiodrag Milanovic2022-04-021-0/+3
| |
| * setInitState can be only one altering valuesMiodrag Milanovic2022-04-021-4/+6
| |
| * Set past_d value for init stateMiodrag Milanovic2022-04-021-0/+2
|/
* Merge pull request #3264 from jix/invalid_ff_dcinit_mergeJannis Harder2022-04-023-2/+71
|\ | | | | opt_merge: Add `-keepdc` option required for formal verification
| * opt_merge: Add `-keepdc` option required for formal verificationJannis Harder2022-04-013-2/+71
| | | | | | | | | | | | | | | | The `-keepdc` option prevents merging flipflops with dont-care bits in their initial value, as, in general, this is not a valid transform for formal verification. The keepdc option of `opt` is passed along to `opt_merge` now.
* | Bump versiongithub-actions[bot]2022-04-021-1/+1
| |
* | Merge pull request #3263 from YosysHQ/micko/clk2ff_initMiodrag Milanović2022-04-011-0/+2
|\ \ | |/ |/| Set init values for wrapped async control signals
| * Set init values for wrapped async control signalsMiodrag Milanovic2022-04-011-0/+2
|/
* Merge pull request #3262 from YosysHQ/micko/verific_hiernetMiodrag Milanović2022-04-011-1/+1
|\ | | | | Preserve internal wires for external nets
| * Preserve internal wires for external netsMiodrag Milanovic2022-04-011-1/+1
|/
* Bump versiongithub-actions[bot]2022-04-011-1/+1
|
* Merge pull request #3256 from YosysHQ/micko/aiw_multiclockMiodrag Milanović2022-03-311-16/+86
|\ | | | | Support memories in aiw and multiclock
| * Support memories in aiw and multiclockMiodrag Milanovic2022-03-311-16/+86
|/
* Bump versiongithub-actions[bot]2022-03-311-1/+1
|
* Merge pull request #3259 from YosysHQ/micko/verific_valgrindMiodrag Milanović2022-03-305-8/+16
|\ | | | | Fix valgrind tests when using verific
| * Fix valgrind tests when using verificMiodrag Milanovic2022-03-305-8/+16
| |
* | Merge pull request #3260 from YosysHQ/micko/proper_scopenameMiodrag Milanović2022-03-302-9/+4
|\ \ | |/ |/| Proper scope naming from FST
| * Proper scope naming from FSTMiodrag Milanovic2022-03-302-9/+4
|/
* Merge pull request #3250 from YosysHQ/micko/verific_consistentMiodrag Milanović2022-03-302-23/+27
|\ | | | | Import Verific netlist in consistent order
| * Properly mark modules importedMiodrag Milanovic2022-03-261-2/+2
| |
| * Import verific netlist in consistent orderMiodrag Milanovic2022-03-252-23/+27
| |
* | Bump versiongithub-actions[bot]2022-03-301-1/+1
| |
* | Merge pull request #3258 from jix/fix-no-assertionsMiodrag Milanović2022-03-291-0/+2
|\ \ | | | | | | smtbmc: fix bmc with no assertions
| * | smtbmc: fix bmc with no assertionsJannis Harder2022-03-291-0/+2
|/ / | | | | | | this was broken by the `--keep-going` changes
* | Bump versiongithub-actions[bot]2022-03-291-1/+1
| |
* | kernel/mem: Only use FF init in read-first emu for mem with initMarcelina Kościelnicka2022-03-281-1/+4
| |
* | Merge pull request #3253 from jix/smtbmc-nodeepcopyJannis Harder2022-03-281-6/+6
|\ \ | | | | | | smtbmc: Avoid unnecessary deep copies during unrolling
| * | smtbmc: Avoid unnecessary deep copies during unrollingJannis Harder2022-03-281-6/+6
| | |
* | | Merge pull request #3247 from jix/smtbmc-keepgoingJannis Harder2022-03-281-50/+143
|\ \ \ | | | | | | | | smtbmc `--keep-going`
| * | | yosys-smtbmc: Option to keep going after failed assertions in BMC modeJannis Harder2022-03-241-48/+141
| | | |
| * | | yosys-smtbmc: Fix typo in help text, remove trailing whitespaceJannis Harder2022-03-241-2/+2
| | | |
* | | | Merge pull request #3194 from Ravenslofty/abc9-flow3mfsLofty2022-03-281-1/+7
|\ \ \ \ | | | | | | | | | | abc9: add flow3mfs script