aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
* Observe $TMPDIR variable when creating tmp filesMohamed A. Bamakhrama2022-05-276-7/+37
| | | | | | | | | POSIX defines $TMPDIR as containing the pathname of the directory where programs can create temporary files. On most systems, this variable points to "/tmp". However, on some systems it can point to a different location. Without respecting this variable, yosys fails to run on such systems. Signed-off-by: Mohamed A. Bamakhrama <mohamed@alumni.tum.de>
* Upload emscripten artifactMiodrag Milanovic2022-05-271-1/+5
|
* Add emcc build (stuck if all cpus used on GH)Miodrag Milanovic2022-05-271-0/+20
|
* Proper std::moveMiodrag Milanovic2022-05-271-8/+8
|
* Use proper operatorMiodrag Milanovic2022-05-271-4/+4
|
* Merge pull request #3053 from DanielHuisman/pr-2Miodrag Milanović2022-05-271-8/+9
|\ | | | | Fix emcc warnings for WebAssembly build
| * Fix emcc warnings for WebAssembly buildDaniel Huisman2021-10-201-8/+9
| |
* | Bump versiongithub-actions[bot]2022-05-261-1/+1
| |
* | verilog: fix $past's signednessJannis Harder2022-05-254-1/+40
| |
* | Merge pull request #3011 from DanielHuisman/pr-1Miodrag Milanović2022-05-251-2/+2
|\ \ | | | | | | Update WaveDrom script URLs in YosysJS demo
| * | Update WaveDrom script URLsDaniel Huisman2021-09-181-2/+2
| |/
* | Merge pull request #3335 from programmerjake/divfloor-in-write_smt2Jannis Harder2022-05-251-0/+21
|\ \ | | | | | | add $divfloor support to write_smt2
| * | add $divfloor support to write_smt2Jacob Lifshay2022-05-241-0/+21
| | | | | | | | | | | | Fixes: #3330
* | | Merge pull request #3138 from DanielG/fix-git-revMiodrag Milanović2022-05-253-0/+13
|\ \ \ | | | | | | | | Make GIT_REV logic work in release tarballs
| * | | Make GIT_REV logic work in release tarballsDaniel Gröber2022-05-253-0/+13
|/ / / | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Currently GIT_REV doesn't get set properly when building a release tarball. To fix this we arrange for .gitcommit to contain the (short) commit hash in tarballs generated with git-archive(1) using export-subst in gitattributes. This way the correct commit hash is (reproducibly) included in the release tarballs while not burdening the maintainers with updating it in the git repo. Please note this even works on Github and similar forges as they use git-archive for generating tarballs so this works out quite nicely.
* / / verilog: fix signedness when removing unreachable casesJannis Harder2022-05-243-0/+39
|/ /
* | Bump versiongithub-actions[bot]2022-05-241-1/+1
| |
* | Merge pull request #3332 from YosysHQ/verific_fMiodrag Milanović2022-05-231-20/+25
|\ \ | | | | | | Update Verific command file documentation
| * | fix text to fit 80 columnsMiodrag Milanovic2022-05-231-6/+9
| | |
| * | Update verific command file documentationMiodrag Milanovic2022-05-231-17/+19
|/ /
* | Use analysis mode if set in fileMiodrag Milanovic2022-05-231-2/+2
| |
* | Merge pull request #3331 from YosysHQ/git_rev_fixMiodrag Milanović2022-05-231-1/+1
|\ \ | | | | | | work around the new(ish) git safe.directory restrictions
| * | Change way to get commit shaJannis Harder2022-05-231-1/+1
|/ /
* | abc9_ops: Don't leave unused derived modules lying aroundgatecat2022-05-231-0/+9
| | | | | | | | | | | | | | These later become accidentally used for techmap replacements for blackboxes that we don't actually want. Signed-off-by: gatecat <gatecat@ds0.me>
* | Bump versiongithub-actions[bot]2022-05-211-1/+1
| |
* | Merge pull request #3324 from jix/confusing-select-errorsJannis Harder2022-05-201-8/+10
|\ \ | | | | | | select: Fix -assert-none and -assert-any error output and docs
| * | select: Fix -assert-none and -assert-any error output and docsJannis Harder2022-05-191-8/+10
|/ / | | | | | | | | | | | | | | | | | | | | | | | | | | | | Both of these options consider a selection containing only empty modules as non-empty. This wasn't mentioned in the documentation nor did the error message when using `select -assert-none` list those empty modules, which produced a very confusing error message complaining about a non-empty selection followed by an empty listing of the selection. This fixes the documentation and changes the `-assert-none` and `-assert-any` assertion error messages to also output fully selected modules (this includes selected empty modules). It doesn't change the messages for `-assert-count` etc. as they don't count modules.
* | Bump versiongithub-actions[bot]2022-05-191-1/+1
| |
* | Add memory_bmux2rom pass.Marcelina Kościelnicka2022-05-184-1/+124
| |
* | Add memory_libmap tests.Marcelina Kościelnicka2022-05-1822-0/+1500
| |
* | gatemate: Use `memory_libmap` pass.Marcelina Kościelnicka2022-05-183-781/+927
| |
* | machxo2: Use `memory_libmap` pass.Marcelina Kościelnicka2022-05-187-1/+578
| |
* | efinix: Use `memory_libmap` pass.Marcelina Kościelnicka2022-05-184-102/+164
| |
* | anlogic: Use `memory_libmap` pass.Marcelina Kościelnicka2022-05-189-303/+585
| |
* | ice40: Use `memory_libmap` pass.Marcelina Kościelnicka2022-05-189-514/+293
| |
* | xilinx: Use `memory_libmap` pass.Marcelina Kościelnicka2022-05-1840-2315/+4540
| |
* | gowin: Use `memory_libmap` pass.Marcelina Kościelnicka2022-05-189-266/+576
| |
* | nexus: Use `memory_libmap` pass.Marcelina Kościelnicka2022-05-1811-519/+679
| |
* | ecp5: Use `memory_libmap` pass.Marcelina Kościelnicka2022-05-1810-601/+602
| |
* | Add memory_libmap pass.Marcelina Kościelnicka2022-05-186-0/+3884
| |
* | proc_rom: Add special handling of const-0 address bits.Marcelina Kościelnicka2022-05-182-15/+186
| |
* | Bump versiongithub-actions[bot]2022-05-181-1/+1
| |
* | Merge pull request #3310 from robinsonb5-PRs/masterMiodrag Milanović2022-05-171-0/+2
|\ \ | | | | | | Now calls Tcl_Init after creating the interp, fixes clock format.
| * | Use log_warning when Tcl_Init fails, report error with Tcl_ErrnoMsg.Alastair M. Robinson2022-05-161-1/+1
| | |
| * | Now calls Tcl_Init after creating the interp, fixes clock format.Alastair M. Robinson2022-05-101-0/+2
| | |
* | | opt_ffinv: Use ModIndex instead of ModWalker.Marcelina Kościelnicka2022-05-171-50/+53
| | | | | | | | | | | | This avoids using out-of-data index information.
* | | Merge pull request #3314 from jix/sva_value_change_logic_wideJannis Harder2022-05-163-9/+72
|\ \ \ | | | | | | | | verific: Use new value change logic also for $stable of wide signals.
| * | | verific: Use new value change logic also for $stable of wide signals.Jannis Harder2022-05-113-9/+72
| |/ / | | | | | | | | | I missed this in the previous PR.
* | | Bump versiongithub-actions[bot]2022-05-141-1/+1
| | |
* | | Add opt_ffinv pass.Marcelina Kościelnicka2022-05-134-3/+268
| | |