diff options
Diffstat (limited to 'tests')
-rw-r--r-- | tests/simple/macros.v | 237 | ||||
-rw-r--r-- | tests/simple/memory.v | 17 | ||||
-rw-r--r-- | tests/simple/multiplier.v | 132 | ||||
-rw-r--r-- | tests/simple/undef_eqx_nex.v | 11 | ||||
-rwxr-xr-x | tests/tools/autotest.sh | 16 |
5 files changed, 407 insertions, 6 deletions
diff --git a/tests/simple/macros.v b/tests/simple/macros.v new file mode 100644 index 000000000..cda46cb48 --- /dev/null +++ b/tests/simple/macros.v @@ -0,0 +1,237 @@ + +module test_def(a, y); + +`define MSB_LSB_SEP : +`define get_msb(off, len) ((off)+(len)-1) +`define get_lsb(off, len) (off) +`define sel_bits(offset, len) `get_msb(offset, len) `MSB_LSB_SEP `get_lsb(offset, len) + +input [31:0] a; +output [7:0] y; + +assign y = a[`sel_bits(16, 8)]; + +endmodule + +// --------------------------------------------------- + +module test_ifdef(a, y); + +input [2:0] a; +output reg [31:0] y; + +always @* begin + y = 0; + + `undef X + `ifdef X + y = y + 42; + `else + `undef A + `undef B + `ifdef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + `undef A + `define B + `ifdef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + `define A + `undef B + `ifdef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + `define A + `define B + `ifdef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + // ------------------------------------ + `undef A + `undef B + `ifndef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + `undef A + `define B + `ifndef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + `define A + `undef B + `ifndef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + `define A + `define B + `ifndef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + // ------------------------------------ + `undef A + `ifdef A + y = (y << 1) | a[0]; + `else + y = (y << 1) | a[2]; + `endif + `define A + `ifdef A + y = (y << 1) | a[0]; + `else + y = (y << 1) | a[2]; + `endif + // ------------------------------------ + `undef A + `ifndef A + y = (y << 1) | a[0]; + `else + y = (y << 1) | a[2]; + `endif + `define A + `ifndef A + y = (y << 1) | a[0]; + `else + y = (y << 1) | a[2]; + `endif + `endif + + `define X + `ifdef X + `undef A + `undef B + `ifdef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + `undef A + `define B + `ifdef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + `define A + `undef B + `ifdef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + `define A + `define B + `ifdef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + // ------------------------------------ + `undef A + `undef B + `ifndef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + `undef A + `define B + `ifndef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + `define A + `undef B + `ifndef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + `define A + `define B + `ifndef A + y = (y << 1) | a[0]; + `elsif B + y = (y << 1) | a[1]; + `else + y = (y << 1) | a[2]; + `endif + // ------------------------------------ + `undef A + `ifdef A + y = (y << 1) | a[0]; + `else + y = (y << 1) | a[2]; + `endif + `define A + `ifdef A + y = (y << 1) | a[0]; + `else + y = (y << 1) | a[2]; + `endif + // ------------------------------------ + `undef A + `ifndef A + y = (y << 1) | a[0]; + `else + y = (y << 1) | a[2]; + `endif + `define A + `ifndef A + y = (y << 1) | a[0]; + `else + y = (y << 1) | a[2]; + `endif + `else + y = y + 42; + `endif +end + +endmodule diff --git a/tests/simple/memory.v b/tests/simple/memory.v index aea014a28..eaeee01dd 100644 --- a/tests/simple/memory.v +++ b/tests/simple/memory.v @@ -1,4 +1,21 @@ +module test00(clk, setA, setB, y); + +input clk, setA, setB; +output y; +reg mem [1:0]; + +always @(posedge clk) begin + if (setA) mem[0] <= 0; // this is line 9 + if (setB) mem[0] <= 1; // this is line 10 +end + +assign y = mem[0]; + +endmodule + +// ---------------------------------------------------------- + module test01(clk, wr_en, wr_addr, wr_value, rd_addr, rd_value); input clk, wr_en; diff --git a/tests/simple/multiplier.v b/tests/simple/multiplier.v new file mode 100644 index 000000000..3c0aa1fce --- /dev/null +++ b/tests/simple/multiplier.v @@ -0,0 +1,132 @@ + +// Via http://www.edaplayground.com/s/6/591 +// stackoverflow 20556634 +// http://stackoverflow.com/questions/20556634/how-can-i-iteratively-create-buses-of-parameterized-size-to-connect-modules-also + +// Code your design here +`define macro_args +`define indexed_part_select + +module Multiplier_flat #(parameter M = 4, parameter N = 4)( +input [M-1:0] A, //Input A, size M +input [N-1:0] B, //Input B, size N +output [M+N-1:0] P ); //Output P (product), size M+N + +/* Calculate LSB using Wolfram Alpha + N==3 : http://www.wolframalpha.com/input/?i=0%2C+4%2C+9%2C+15%2C+... + N==4 : http://www.wolframalpha.com/input/?i=0%2C+5%2C+11%2C+18%2C+26%2C+35%2C+... + N==5 : http://www.wolframalpha.com/input/?i=0%2C+6%2C+13%2C+21%2C+30%2C+... + */ +`ifdef macro_args +// initial $display("Use Macro Args"); +`define calc_pp_lsb(n) (((n)-1)*((n)+2*M)/2) +//`define calc_pp_msb(n) (`calc_pp_lsb(n+1)-1) +`define calc_pp_msb(n) ((n**2+(2*M+1)*n-2)/2) +//`define calc_range(n) `calc_pp_msb(n):`calc_pp_lsb(n) +`define calc_pp_range(n) `calc_pp_lsb(n) +: (M+n) + +wire [`calc_pp_msb(N):0] PP; +assign PP[`calc_pp_range(1)] = { 1'b0 , { A & {M{B[0]}} } }; +assign P = PP[`calc_pp_range(N)]; +`elsif indexed_part_select +// initial $display("Use Indexed Part Select"); +localparam MSB = (N**2+(2*M+1)*N-2)/2; +wire [MSB:0] PP; +assign PP[M:0] = { 1'b0 , { A & {M{B[0]}} } }; +assign P = PP[MSB -: M+N]; +`else +// initial $display("Use Worst Case"); +localparam MSB = (N**2+(2*M+1)*N-2)/2; +wire [MSB:0] PP; +assign PP[M:0] = { 1'b0 , { A & {M{B[0]}} } }; +assign P = PP[MSB : MSB+1-M-N]; +`endif + +genvar i; +generate +for (i=1; i < N; i=i+1) +begin: addPartialProduct + wire [M+i-1:0] gA,gB,gS; + wire Cout; + assign gA = { A & {M{B[i]}} , {i{1'b0}} }; + `ifdef macro_args + assign gB = PP[`calc_pp_range(i)]; + assign PP[`calc_pp_range(i+1)] = {Cout,gS}; + `elsif indexed_part_select + assign gB = PP[(i-1)*(i+2*M)/2 +: M+i]; + assign PP[i*((i+1)+2*M)/2 +: M+i+1] = {Cout,gS}; + `else + localparam LSB = (i-1)*(i+2*M)/2; + localparam MSB = (i**2+(2*M+1)*i-2)/2; + localparam MSB2 = ((i+1)**2+(2*M+1)*(i+1)-2)/2; + assign gB = PP[MSB : LSB]; + assign PP[ MSB2 : MSB+1] = {Cout,gS}; + `endif + RippleCarryAdder#(M+i) adder( .A(gA), .B(gB), .S(gS), .Cin (1'b0), .Cout(Cout) ); +end +endgenerate + +`ifdef macro_args +// Cleanup global space +`undef calc_pp_range +`undef calc_pp_msb +`undef calc_pp_lsb +`endif +endmodule + +module Multiplier_2D #(parameter M = 4, parameter N = 4)( +input [M-1:0] A, //Input A, size M +input [N-1:0] B, //Input B, size N +output [M+N-1:0] P ); //Output P (product), size M+N + +wire [M+N-1:0] PP [N-1:0]; + +// Note: bits PP[0][M+N-1:M+1] are never used. Unused bits are optimized out during synthesis +//assign PP[0][M:0] = { {1'b0} , { A & {M{B[0]}} } }; +//assign PP[0][M+N-1:M+1] = {(N-1){1'b0}}; // uncomment to make probing readable +assign PP[0] = { {N{1'b0}} , { A & {M{B[0]}} } }; +assign P = PP[N-1]; + +genvar i; +generate +for (i=1; i < N; i=i+1) +begin: addPartialProduct + wire [M+i-1:0] gA,gB,gS; wire Cout; + assign gA = { A & {M{B[i]}} , {i{1'b0}} }; + assign gB = PP[i-1][M+i-1:0]; + //assign PP[i][M+i:0] = {Cout,gS}; + //if (i+1<N) assign PP[i][M+N-1:M+i+1] = {(N-i){1'b0}}; // uncomment to make probing readable + assign PP[i] = { {(N-i){1'b0}}, Cout, gS}; + RippleCarryAdder#(M+i) adder( + .A(gA), .B(gB), .S(gS), .Cin(1'b0), .Cout(Cout) ); +end +endgenerate + +//always@* foreach(S[i]) $display("S[%0d]:%b",i,S[i]); + +endmodule + +module RippleCarryAdder#(parameter N = 4)(A,B,Cin,S,Cout); + +input [N-1:0] A; +input [N-1:0] B; +input Cin; +output [N-1:0] S; +output Cout; +wire [N:0] CC; + +assign CC[0] = Cin; +assign Cout = CC[N]; +genvar i; +generate +for (i=0; i < N; i=i+1) +begin: addbit + FullAdder unit(A[i],B[i],CC[i],S[i],CC[i+1]); +end +endgenerate + +endmodule + +module FullAdder(input A,B,Cin, output wire S,Cout); +assign {Cout,S} = A+B+Cin; +endmodule diff --git a/tests/simple/undef_eqx_nex.v b/tests/simple/undef_eqx_nex.v new file mode 100644 index 000000000..63912a2fa --- /dev/null +++ b/tests/simple/undef_eqx_nex.v @@ -0,0 +1,11 @@ +module test(y); +output [7:0] y; +assign y[0] = 0/0; +assign y[1] = 0/1; +assign y[2] = 0/0 == 32'bx; +assign y[3] = 0/0 != 32'bx; +assign y[4] = 0/0 === 32'bx; +assign y[5] = 0/0 !== 32'bx; +assign y[6] = 0/1 === 32'bx; +assign y[7] = 0/1 !== 32'bx; +endmodule diff --git a/tests/tools/autotest.sh b/tests/tools/autotest.sh index 5a302bcd4..b1c330d89 100755 --- a/tests/tools/autotest.sh +++ b/tests/tools/autotest.sh @@ -9,13 +9,14 @@ keeprunning=false backend_opts="-noattr -noexpr" kompare_xst=false scriptfiles="" +scriptopt="" toolsdir="$(cd $(dirname $0); pwd)" if [ ! -f $toolsdir/cmp_tbdata -o $toolsdir/cmp_tbdata.c -nt $toolsdir/cmp_tbdata ]; then ( set -ex; gcc -Wall -o $toolsdir/cmp_tbdata $toolsdir/cmp_tbdata.c; ) || exit 1 fi -while getopts iml:wkvrxs: opt; do +while getopts iml:wkvrxs:p: opt; do case "$opt" in i) use_isim=true ;; @@ -30,14 +31,16 @@ while getopts iml:wkvrxs: opt; do v) verbose=true ;; r) - backend_opts="$backend_opts norename" ;; + backend_opts="$backend_opts -norename" ;; x) kompare_xst=true ;; s) [[ "$OPTARG" == /* ]] || OPTARG="$PWD/$OPTARG" scriptfiles="$scriptfiles $OPTARG" ;; + p) + scriptopt="$OPTARG" ;; *) - echo "Usage: $0 [-i] [-w] [-k] [-v] [-r] [-x] [-l libs] [-s script] verilog-files\n" >&2 + echo "Usage: $0 [-i] [-w] [-k] [-v] [-r] [-x] [-l libs] [-s script] [-p cmdstring] verilog-files\n" >&2 exit 1 esac done @@ -147,10 +150,11 @@ do if [ -n "$scriptfiles" ]; then test_passes + elif [ -n "$scriptopt" ]; then + test_passes -p "$scriptopt" else - test_passes -p "hierarchy; proc; memory; opt; fsm; opt" - test_passes -p "hierarchy; proc; memory; opt; fsm; opt; techmap; opt" - # test_passes -p "hierarchy; proc; memory; opt; fsm; opt; techmap -opt; opt; abc; opt" + test_passes -p "hierarchy; proc; opt; memory; opt; fsm; opt" + test_passes -p "hierarchy; proc; opt; memory; opt; fsm; opt; techmap; opt; abc -dff; opt" fi touch ../${bn}.log } |