aboutsummaryrefslogtreecommitdiffstats
path: root/tests/xilinx/tribuf.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/xilinx/tribuf.v')
-rw-r--r--tests/xilinx/tribuf.v8
1 files changed, 8 insertions, 0 deletions
diff --git a/tests/xilinx/tribuf.v b/tests/xilinx/tribuf.v
new file mode 100644
index 000000000..c64468253
--- /dev/null
+++ b/tests/xilinx/tribuf.v
@@ -0,0 +1,8 @@
+module tristate (en, i, o);
+ input en;
+ input i;
+ output reg o;
+
+ always @(en or i)
+ o <= (en)? i : 1'bZ;
+endmodule