aboutsummaryrefslogtreecommitdiffstats
path: root/tests/xilinx/macc.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/xilinx/macc.ys')
-rw-r--r--tests/xilinx/macc.ys4
1 files changed, 2 insertions, 2 deletions
diff --git a/tests/xilinx/macc.ys b/tests/xilinx/macc.ys
index 417a3b21b..6e884b35a 100644
--- a/tests/xilinx/macc.ys
+++ b/tests/xilinx/macc.ys
@@ -1,8 +1,8 @@
read_verilog macc.v
design -save read
-proc
hierarchy -top macc
+proc
#equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx ### TODO
equiv_opt -run :prove -map +/xilinx/cells_sim.v synth_xilinx
miter -equiv -flatten -make_assert -make_outputs gold gate miter
@@ -15,8 +15,8 @@ select -assert-count 1 t:DSP48E1
select -assert-none t:BUFG t:FDRE t:DSP48E1 %% t:* %D
design -load read
-proc
hierarchy -top macc2
+proc
#equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx ### TODO
equiv_opt -run :prove -map +/xilinx/cells_sim.v synth_xilinx
miter -equiv -flatten -make_assert -make_outputs gold gate miter