aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/upto.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/verilog/upto.ys')
-rw-r--r--tests/verilog/upto.ys1
1 files changed, 0 insertions, 1 deletions
diff --git a/tests/verilog/upto.ys b/tests/verilog/upto.ys
index d87f4424e..2f3394761 100644
--- a/tests/verilog/upto.ys
+++ b/tests/verilog/upto.ys
@@ -2,4 +2,3 @@ read_verilog <<EOT
module top(input [-128:-65] a);
endmodule
EOT
-dump