aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/genvar_loop_decl_3.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/verilog/genvar_loop_decl_3.ys')
-rw-r--r--tests/verilog/genvar_loop_decl_3.ys5
1 files changed, 5 insertions, 0 deletions
diff --git a/tests/verilog/genvar_loop_decl_3.ys b/tests/verilog/genvar_loop_decl_3.ys
new file mode 100644
index 000000000..19f754124
--- /dev/null
+++ b/tests/verilog/genvar_loop_decl_3.ys
@@ -0,0 +1,5 @@
+read_verilog -sv genvar_loop_decl_3.sv
+proc
+equiv_make gold gate equiv
+equiv_simple
+equiv_status -assert