aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/conflict_wire_memory.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/verilog/conflict_wire_memory.ys')
-rw-r--r--tests/verilog/conflict_wire_memory.ys7
1 files changed, 7 insertions, 0 deletions
diff --git a/tests/verilog/conflict_wire_memory.ys b/tests/verilog/conflict_wire_memory.ys
new file mode 100644
index 000000000..77520fea9
--- /dev/null
+++ b/tests/verilog/conflict_wire_memory.ys
@@ -0,0 +1,7 @@
+logger -expect error "Cannot add signal `\\x' because a memory with the same name was already created" 1
+read_verilog <<EOT
+module top;
+ reg [2:0] x [0:0];
+ reg [2:0] x;
+endmodule
+EOT